Index of /alpine/edge/testing/aarch64
Name
Last modified
Size
Description
Parent Directory
-
zydis-doc-4.1.0-r0.apk
2024-10-25 21:11
1.6M
zydis-dev-4.1.0-r0.apk
2024-10-25 21:11
61K
zydis-4.1.0-r0.apk
2024-10-25 21:11
213K
zycore-doc-1.5.0-r1.apk
2025-07-12 08:31
433K
zycore-dev-1.5.0-r1.apk
2025-07-12 08:31
37K
zycore-1.5.0-r1.apk
2025-07-12 08:31
21K
zvbi-doc-0.2.44-r0.apk
2025-03-11 23:54
21K
zvbi-0.2.44-r0.apk
2025-03-11 23:54
173K
zutty-doc-0.16-r0.apk
2025-01-12 22:00
67K
zutty-0.16-r0.apk
2025-01-12 22:00
143K
zulip-desktop-5.12.2-r0.apk
2025-11-21 10:56
2.4M
zsh-manydots-magic-0_git20230607-r1.apk
2024-10-25 21:11
2.9K
zsh-histdb-skim-0.8.6-r0.apk
2024-10-25 21:11
741K
zrepl-zsh-completion-0.6.1-r17.apk
2026-01-17 23:42
1.9K
zrepl-openrc-0.6.1-r17.apk
2026-01-17 23:42
1.7K
zrepl-bash-completion-0.6.1-r17.apk
2026-01-17 23:42
4.5K
zrepl-0.6.1-r17.apk
2026-01-17 23:42
6.4M
zpaq-doc-7.15-r0.apk
2025-10-09 19:38
16K
zpaq-7.15-r0.apk
2025-10-09 19:38
166K
zot-openrc-2.1.8-r3.apk
2026-01-17 23:42
2.0K
zot-exporter-2.1.8-r3.apk
2026-01-17 23:42
3.7M
zot-doc-2.1.8-r3.apk
2026-01-17 23:42
9.4K
zot-cli-zsh-completion-2.1.8-r3.apk
2026-01-17 23:42
4.0K
zot-cli-fish-completion-2.1.8-r3.apk
2026-01-17 23:42
4.3K
zot-cli-bash-completion-2.1.8-r3.apk
2026-01-17 23:42
6.1K
zot-cli-2.1.8-r3.apk
2026-01-17 23:42
9.7M
zot-2.1.8-r3.apk
2026-01-17 23:42
72M
zmx-doc-0.2.0-r0.apk
2026-01-02 00:27
2.2K
zmx-0.2.0-r0.apk
2026-01-02 00:27
509K
zita-resampler-doc-1.11.2-r0.apk
2025-04-14 23:16
4.0K
zita-resampler-dev-1.11.2-r0.apk
2025-04-14 23:16
3.3K
zita-resampler-1.11.2-r0.apk
2025-04-14 23:16
24K
zita-njbridge-doc-0.4.8-r1.apk
2024-10-25 21:11
5.2K
zita-njbridge-0.4.8-r1.apk
2024-10-25 21:11
28K
zile-doc-2.6.2-r1.apk
2024-10-25 21:11
16K
zile-2.6.2-r1.apk
2024-10-25 21:11
118K
zfsbootmenu-doc-2.3.0-r1.apk
2024-10-25 21:11
16K
zfsbootmenu-2.3.0-r1.apk
2024-10-25 21:11
128K
zfs-src-2.4.0-r0.apk
2025-12-19 09:50
33M
zelbar-doc-1.2.0-r0.apk
2026-01-24 14:43
3.3K
zelbar-1.2.0-r0.apk
2026-01-24 14:43
146K
zed-0.138.4-r5.apk
2025-03-26 12:51
32M
zarf-0.32.1-r16.apk
2026-01-17 23:42
52M
zarchive-libs-0.1.2-r2.apk
2024-10-25 21:11
23K
zarchive-dev-0.1.2-r2.apk
2024-10-25 21:11
6.8K
zarchive-0.1.2-r2.apk
2024-10-25 21:11
16K
zapzap-pyc-6.0.1.8-r0.apk
2025-04-14 01:58
126K
zapzap-6.0.1.8-r0.apk
2025-04-14 01:58
109K
zafiro-icon-theme-1.3-r0.apk
2024-10-25 21:11
19M
z-doc-1.12-r0.apk
2024-10-25 21:11
3.9K
z-1.12-r0.apk
2024-10-25 21:11
4.6K
yubikey-agent-0.1.6-r18.apk
2026-01-17 23:42
1.8M
ytt-0.52.1-r3.apk
2026-01-17 23:42
4.4M
ytmdl-zsh-completion-2024.08.15.1-r1.apk
2025-05-14 20:17
2.1K
ytmdl-pyc-2024.08.15.1-r1.apk
2025-05-14 20:17
78K
ytmdl-bash-completion-2024.08.15.1-r1.apk
2025-05-14 20:17
2.3K
ytmdl-2024.08.15.1-r1.apk
2025-05-14 20:17
50K
youki-dbg-0.5.7-r0.apk
2026-01-05 21:15
8.1M
youki-0.5.7-r0.apk
2026-01-05 21:15
1.6M
yosys-dev-0.57-r0.apk
2025-10-24 23:11
277K
yosys-0.57-r0.apk
2025-10-24 23:11
22M
yoshimi-doc-2.3.3.3-r0.apk
2025-03-26 12:51
4.5M
yoshimi-2.3.3.3-r0.apk
2025-03-26 12:51
5.8M
yoe-kiosk-browser-0_git20231118-r0.apk
2024-10-25 21:11
9.5K
yices2-libs-2.6.5-r0.apk
2025-03-03 00:04
817K
yices2-dev-2.6.5-r0.apk
2025-03-03 00:04
41K
yices2-2.6.5-r0.apk
2025-03-03 00:04
2.2M
ydcv-zsh-completion-0.7-r8.apk
2024-10-25 21:11
2.2K
ydcv-pyc-0.7-r8.apk
2024-10-25 21:11
11K
ydcv-0.7-r8.apk
2024-10-25 21:11
20K
yass-2.5.0-r0.apk
2024-10-25 21:11
14M
yaru-theme-yellow-25.10.3-r0.apk
2026-01-06 06:46
766K
yaru-theme-wartybrown-25.10.3-r0.apk
2026-01-06 06:46
764K
yaru-theme-viridian-25.10.3-r0.apk
2026-01-06 06:46
760K
yaru-theme-sage-25.10.3-r0.apk
2026-01-06 06:46
763K
yaru-theme-red-25.10.3-r0.apk
2026-01-06 06:46
760K
yaru-theme-purple-25.10.3-r0.apk
2026-01-06 06:46
757K
yaru-theme-prussiangreen-25.10.3-r0.apk
2026-01-06 06:46
760K
yaru-theme-olive-25.10.3-r0.apk
2026-01-06 06:46
759K
yaru-theme-mate-25.10.3-r0.apk
2026-01-06 06:46
772K
yaru-theme-magenta-25.10.3-r0.apk
2026-01-06 06:46
762K
yaru-theme-hdpi-25.10.3-r0.apk
2026-01-06 06:46
73K
yaru-theme-blue-25.10.3-r0.apk
2026-01-06 06:46
766K
yaru-theme-bark-25.10.3-r0.apk
2026-01-06 06:46
763K
yaru-theme-25.10.3-r0.apk
2026-01-06 06:46
840K
yaru-sounds-25.10.3-r0.apk
2026-01-06 06:46
1.2M
yaru-shell-25.10.3-r0.apk
2026-01-06 06:46
232K
yaru-schemas-25.10.3-r0.apk
2026-01-06 06:46
1.9K
yaru-icon-theme-yellow-25.10.3-r0.apk
2026-01-06 06:46
1.2M
yaru-icon-theme-wartybrown-25.10.3-r0.apk
2026-01-06 06:46
1.2M
yaru-icon-theme-viridian-25.10.3-r0.apk
2026-01-06 06:46
1.2M
yaru-icon-theme-sage-25.10.3-r0.apk
2026-01-06 06:46
1.2M
yaru-icon-theme-red-25.10.3-r0.apk
2026-01-06 06:46
1.2M
yaru-icon-theme-purple-25.10.3-r0.apk
2026-01-06 06:46
1.2M
yaru-icon-theme-prussiangreen-25.10.3-r0.apk
2026-01-06 06:46
1.2M
yaru-icon-theme-olive-25.10.3-r0.apk
2026-01-06 06:46
1.1M
yaru-icon-theme-mate-25.10.3-r0.apk
2026-01-06 06:46
1.3M
yaru-icon-theme-magenta-25.10.3-r0.apk
2026-01-06 06:46
1.1M
yaru-icon-theme-blue-25.10.3-r0.apk
2026-01-06 06:46
1.2M
yaru-icon-theme-bark-25.10.3-r0.apk
2026-01-06 06:46
1.1M
yaru-icon-theme-25.10.3-r0.apk
2026-01-06 06:46
35M
yaru-gtksourceview-25.10.3-r0.apk
2026-01-06 06:46
5.0K
yaru-common-25.10.3-r0.apk
2026-01-06 06:46
1.3K
yarn-berry-4.9.1-r0.apk
2025-04-17 20:34
1.0M
yamldiff-doc-0.3.0-r4.apk
2026-01-17 23:42
2.2K
yamldiff-0.3.0-r4.apk
2026-01-17 23:42
1.6M
yaml-language-server-doc-1.19.2-r0.apk
2025-10-09 19:38
2.3K
yaml-language-server-1.19.2-r0.apk
2025-10-09 19:38
666K
yamkix-pyc-0.14.0-r0.apk
2025-12-22 20:55
20K
yamkix-0.14.0-r0.apk
2025-12-22 20:55
17K
yaegi-0.16.1-r14.apk
2026-01-17 23:42
6.8M
xwayland-satellite-0.8-r0.apk
2026-01-17 23:42
1.1M
xvile-9.8z_p1-r2.apk
2025-10-09 19:38
774K
xvidtune-doc-1.0.4-r0.apk
2024-10-25 21:11
4.2K
xvidtune-1.0.4-r0.apk
2024-10-25 21:11
17K
xva-img-1.5-r0.apk
2024-10-25 21:11
17K
xtl-0.8.1-r0.apk
2025-10-27 14:41
90K
xtensor-0.27.0-r0.apk
2025-08-25 08:40
270K
xsoldier-doc-1.8-r2.apk
2024-10-25 21:11
2.6K
xsoldier-1.8-r2.apk
2024-10-25 21:11
68K
xsecurelock-doc-1.9.0-r1.apk
2024-10-25 21:11
18K
xsecurelock-1.9.0-r1.apk
2024-10-25 21:11
75K
xsane-lang-0.999-r2.apk
2024-10-25 21:11
440K
xsane-doc-0.999-r2.apk
2024-10-25 21:11
4.3K
xsane-0.999-r2.apk
2024-10-25 21:11
1.5M
xpar-doc-0.7-r0.apk
2025-09-27 16:33
4.3K
xpar-0.7-r0.apk
2025-09-27 16:33
27K
xosview-doc-1.24-r0.apk
2024-10-25 21:11
13K
xosview-1.24-r0.apk
2024-10-25 21:11
114K
xonsh-pyc-0.19.9-r0.apk
2025-10-31 14:29
1.0M
xonsh-0.19.9-r0.apk
2025-10-31 14:29
589K
xone-src-0.5.2-r0.apk
2026-01-19 16:49
58K
xmppipe-0.16.0-r1.apk
2024-10-25 21:11
16K
xmpp-dns-0.2.4-r30.apk
2026-01-17 23:42
1.8M
xmp-doc-4.2.0-r0.apk
2024-10-25 21:11
5.3K
xmp-4.2.0-r0.apk
2024-10-25 21:11
23K
xmoto-lang-0.6.3-r0.apk
2025-10-03 19:02
532K
xmoto-doc-0.6.3-r0.apk
2025-10-03 19:02
5.9K
xmoto-data-0.6.3-r0.apk
2025-10-03 19:02
37M
xmoto-0.6.3-r0.apk
2025-10-03 19:02
1.8M
xml2rfc-pyc-3.28.1-r3.apk
2025-12-18 12:02
407K
xml2rfc-3.28.1-r3.apk
2025-12-18 12:02
352K
xmag-doc-1.0.8-r0.apk
2024-10-25 21:11
4.7K
xmag-1.0.8-r0.apk
2024-10-25 21:11
17K
xload-doc-1.1.4-r0.apk
2024-10-25 21:11
3.2K
xload-1.1.4-r0.apk
2024-10-25 21:11
6.7K
xlhtml-doc-0.5.1-r0.apk
2024-10-25 21:11
2.5K
xlhtml-0.5.1-r0.apk
2024-10-25 21:11
12K
xkb-switch-doc-1.8.5-r1.apk
2025-05-14 20:17
2.1K
xkb-switch-1.8.5-r1.apk
2025-05-14 20:17
20K
xiccd-doc-0.4.1-r0.apk
2026-01-08 03:33
3.5K
xiccd-0.4.1-r0.apk
2026-01-08 03:33
14K
xgalaga-doc-2.1.1.0-r1.apk
2024-10-25 21:11
2.5K
xgalaga-2.1.1.0-r1.apk
2024-10-25 21:11
313K
xfsdump-doc-3.2.0-r0.apk
2025-08-30 22:48
42K
xfsdump-3.2.0-r0.apk
2025-08-30 22:48
365K
xfd-doc-1.1.4-r0.apk
2024-10-25 21:11
4.9K
xfd-1.1.4-r0.apk
2024-10-25 21:11
14K
xfce4-panel-profiles-lang-1.1.1-r1.apk
2025-11-24 13:53
53K
xfce4-panel-profiles-doc-1.1.1-r1.apk
2025-11-24 13:53
20K
xfce4-panel-profiles-1.1.1-r1.apk
2025-11-24 13:53
58K
xfce4-mixer-lang-4.18.1-r2.apk
2024-10-25 21:11
59K
xfce4-mixer-doc-4.18.1-r2.apk
2024-10-25 21:11
2.5K
xfce4-mixer-4.18.1-r2.apk
2024-10-25 21:11
88K
xfce4-hamster-plugin-lang-1.17-r0.apk
2024-10-25 21:11
5.1K
xfce4-hamster-plugin-1.17-r0.apk
2024-10-25 21:11
35K
xendmail-doc-0.4.4-r0.apk
2025-08-06 10:51
2.5K
xendmail-0.4.4-r0.apk
2025-08-06 10:51
1.0M
xemu-0.8.74-r0.apk
2025-06-19 02:45
4.2M
xed-python-3.8.4-r0.apk
2025-10-23 09:55
24K
xed-lang-3.8.4-r0.apk
2025-10-23 09:55
2.1M
xed-doc-3.8.4-r0.apk
2025-10-23 09:55
971K
xed-dev-3.8.4-r0.apk
2025-10-23 09:55
14K
xed-3.8.4-r0.apk
2025-10-23 09:55
1.1M
xdg-ninja-0.2.0.2-r0.apk
2024-10-25 21:11
70K
xdg-native-messaging-proxy-systemd-0.1.0-r0.apk
2025-11-27 15:19
1.8K
xdg-native-messaging-proxy-0.1.0-r0.apk
2025-11-27 15:19
22K
xdg-desktop-portal-hyprland-doc-1.3.11-r0.apk
2025-12-14 11:58
2.4K
xdg-desktop-portal-hyprland-1.3.11-r0.apk
2025-12-14 11:58
313K
xcompmgr-doc-1.1.10-r0.apk
2025-06-28 13:24
2.6K
xcompmgr-1.1.10-r0.apk
2025-06-28 13:24
15K
xcape-doc-1.2-r1.apk
2025-05-14 20:17
3.1K
xcape-1.2-r1.apk
2025-05-14 20:17
7.0K
xa-doc-2.4.1-r0.apk
2025-02-25 13:36
17K
xa-2.4.1-r0.apk
2025-02-25 13:36
79K
x11docker-doc-7.6.0-r1.apk
2024-10-25 21:11
9.4K
x11docker-7.6.0-r1.apk
2024-10-25 21:11
113K
wtfutil-0.43.0-r19.apk
2026-01-17 23:42
18M
wsmancli-doc-2.8.0-r0.apk
2025-07-14 15:34
3.7K
wsmancli-2.8.0-r0.apk
2025-07-14 15:34
20K
wshowkeys-1.0-r0.apk
2024-10-25 21:11
14K
wput-doc-0.6.2-r4.apk
2024-10-25 21:11
8.2K
wput-0.6.2-r4.apk
2024-10-25 21:11
38K
wpa_actiond-openrc-1.4-r7.apk
2024-10-25 21:11
2.2K
wpa_actiond-1.4-r7.apk
2024-10-25 21:11
10K
wolfssh-dev-1.4.17-r2.apk
2026-01-04 00:39
170K
wolfssh-1.4.17-r2.apk
2026-01-04 00:39
134K
wol-lang-0.7.1-r3.apk
2024-10-25 21:11
8.2K
wol-doc-0.7.1-r3.apk
2024-10-25 21:11
5.5K
wol-0.7.1-r3.apk
2024-10-25 21:11
26K
wok-pyc-3.0.0-r6.apk
2024-10-25 21:11
119K
wok-lang-3.0.0-r6.apk
2024-10-25 21:11
16K
wok-doc-3.0.0-r6.apk
2024-10-25 21:11
3.7K
wok-3.0.0-r6.apk
2024-10-25 21:11
157K
wmctrl-doc-1.07-r1.apk
2024-10-25 21:11
5.1K
wmctrl-1.07-r1.apk
2024-10-25 21:11
13K
wlroots0.18-static-0.18.3-r0.apk
2025-11-29 00:54
8.2M
wlroots0.18-dev-0.18.3-r0.apk
2025-11-29 00:54
83K
wlroots0.18-dbg-0.18.3-r0.apk
2025-11-29 00:54
1.5M
wlroots0.18-0.18.3-r0.apk
2025-11-29 00:54
381K
wlroots0.17-dev-0.17.4-r3.apk
2025-08-13 10:05
77K
wlroots0.17-dbg-0.17.4-r3.apk
2025-08-13 10:05
1.4M
wlroots0.17-0.17.4-r3.apk
2025-08-13 10:05
370K
wlr-sunclock-1.2.1-r0.apk
2025-12-01 15:08
45K
wlclock-doc-1.0.1-r0.apk
2024-10-25 21:11
3.3K
wlclock-1.0.1-r0.apk
2024-10-25 21:11
16K
wlavu-0_git20201101-r1.apk
2024-10-25 21:11
13K
wl-screenrec-zsh-completion-0.1.7-r1.apk
2025-08-27 18:38
3.7K
wl-screenrec-fish-completion-0.1.7-r1.apk
2025-08-27 18:38
3.2K
wl-screenrec-doc-0.1.7-r1.apk
2025-08-27 18:38
9.5K
wl-screenrec-bash-completion-0.1.7-r1.apk
2025-08-27 18:38
2.4K
wl-screenrec-0.1.7-r1.apk
2025-08-27 18:38
511K
wl-gammarelay-0.1.3-r5.apk
2026-01-17 23:42
1.6M
wl-clipboard-x11-doc-5-r3.apk
2024-10-25 21:11
2.9K
wl-clipboard-x11-5-r3.apk
2024-10-25 21:11
3.4K
wl-clip-persist-0.5.0-r0.apk
2025-10-26 13:28
879K
wk-adblock-doc-0.0.4-r5.apk
2024-10-25 21:11
2.1K
wk-adblock-0.0.4-r5.apk
2024-10-25 21:11
160K
witchery-0.0.3-r2.apk
2024-10-25 21:11
3.2K
wiringx-dev-0_git20240317-r2.apk
2025-03-03 17:40
84K
wiringx-0_git20240317-r2.apk
2025-03-03 17:40
59K
wiremix-doc-0.7.0-r0.apk
2025-08-22 14:01
9.4K
wiremix-0.7.0-r0.apk
2025-08-22 14:01
743K
wiremapper-0.10.0-r0.apk
2024-10-25 21:11
22K
wine-staging-doc-11.1-r0.apk
2026-01-25 19:39
43K
wine-staging-dev-11.1-r0.apk
2026-01-25 19:39
9.3M
wine-staging-11.1-r0.apk
2026-01-25 19:39
72M
wiki-tui-doc-0.9.1-r0.apk
2025-11-02 19:08
4.5K
wiki-tui-0.9.1-r0.apk
2025-11-02 19:08
2.0M
wifitui-doc-0.10.0-r0.apk
2026-01-26 15:22
2.2K
wifitui-0.10.0-r0.apk
2026-01-26 15:22
2.1M
whipper-pyc-0.10.0-r5.apk
2024-10-25 21:11
185K
whipper-0.10.0-r5.apk
2024-10-25 21:11
113K
whatsie-doc-4.16.3-r0.apk
2025-01-12 14:30
2.2K
whatsie-4.16.3-r0.apk
2025-01-12 14:30
15M
wgcf-zsh-completion-2.2.29-r2.apk
2026-01-17 23:42
4.0K
wgcf-fish-completion-2.2.29-r2.apk
2026-01-17 23:42
4.3K
wgcf-bash-completion-2.2.29-r2.apk
2026-01-17 23:42
6.1K
wgcf-2.2.29-r2.apk
2026-01-17 23:42
4.3M
wf-shell-doc-0.9.0-r0.apk
2025-02-27 21:17
3.1K
wf-shell-dev-0.9.0-r0.apk
2025-02-27 21:17
1.7K
wf-shell-0.9.0-r0.apk
2025-02-27 21:17
6.1M
wf-config-dev-0.9.0-r0.apk
2025-02-27 21:17
16K
wf-config-0.9.0-r0.apk
2025-02-27 21:17
106K
welle-io-doc-2.7-r0.apk
2025-04-07 20:40
4.0K
welle-io-2.7-r0.apk
2025-04-07 20:40
401K
welle-cli-2.7-r0.apk
2025-04-07 20:40
302K
weggli-0.2.4-r1.apk
2024-10-25 21:11
813K
webtunnel-0.0.2-r7.apk
2026-01-17 23:42
3.5M
webhookd-openrc-1.20.2-r6.apk
2026-01-17 23:42
2.2K
webhookd-doc-1.20.2-r6.apk
2026-01-17 23:42
2.3K
webhookd-1.20.2-r6.apk
2026-01-17 23:42
3.1M
wcm-0.9.0-r0.apk
2025-02-27 21:17
366K
wch-isp-udev-rules-0.4.1-r2.apk
2024-10-25 21:11
1.6K
wch-isp-doc-0.4.1-r2.apk
2024-10-25 21:11
2.7K
wch-isp-0.4.1-r2.apk
2024-10-25 21:11
11K
wbg-1.3.0-r1.apk
2025-11-01 16:31
40K
wazero-1.9.0-r8.apk
2026-01-17 23:42
1.9M
wayqt-dev-0.3.0-r1.apk
2025-08-21 09:47
18K
wayqt-0.3.0-r1.apk
2025-08-21 09:47
134K
waynergy-0.0.17-r1.apk
2025-09-13 03:00
51K
waylevel-1.0.0-r1.apk
2024-10-25 21:11
290K
wayfire-plugins-extra-0.9.0-r0.apk
2025-02-27 21:17
566K
wayfire-doc-0.9.0-r0.apk
2025-02-27 21:17
3.6K
wayfire-dev-0.9.0-r0.apk
2025-02-27 21:17
130K
wayfire-0.9.0-r0.apk
2025-02-27 21:17
2.5M
wayfarer-1.4.0-r0.apk
2025-11-05 19:52
64K
way-secure-doc-0.2.0-r0.apk
2025-04-19 00:10
3.0K
way-secure-0.2.0-r0.apk
2025-04-19 00:10
189K
way-displays-doc-1.15.0-r0.apk
2025-09-30 11:47
4.5K
way-displays-1.15.0-r0.apk
2025-09-30 11:47
109K
watershot-0.2.0-r0.apk
2024-10-25 21:11
1.6M
watchdog-doc-5.16-r2.apk
2024-10-25 21:11
14K
watchdog-5.16-r2.apk
2024-10-25 21:11
48K
watchbind-doc-0.2.1-r1.apk
2024-10-25 21:11
6.6K
watchbind-0.2.1-r1.apk
2024-10-25 21:11
1.0M
wasmtime-dev-39.0.1-r0.apk
2025-12-08 22:09
114K
wasmtime-39.0.1-r0.apk
2025-12-08 22:09
8.4M
warzone2100-lang-4.5.5-r5.apk
2025-12-20 16:22
2.6M
warzone2100-doc-4.5.5-r5.apk
2025-12-20 16:22
1.5M
warzone2100-data-4.5.5-r5.apk
2025-12-20 16:22
328M
warzone2100-4.5.5-r5.apk
2025-12-20 16:22
6.1M
warpinator-nemo-2.0.3-r0.apk
2026-01-18 20:12
4.6K
warpinator-lang-2.0.3-r0.apk
2026-01-18 20:12
273K
warpinator-2.0.3-r0.apk
2026-01-18 20:12
225K
warp-s3-1.4.0-r0.apk
2026-01-22 02:35
7.6M
walk-sor-doc-0_git20190920-r1.apk
2024-10-25 21:11
7.8K
walk-sor-0_git20190920-r1.apk
2024-10-25 21:11
5.7K
walk-doc-1.13.0-r9.apk
2026-01-17 23:42
2.2K
walk-1.13.0-r9.apk
2026-01-17 23:42
3.0M
wakeonlan-doc-0.42-r0.apk
2024-10-25 21:11
7.5K
wakeonlan-0.42-r0.apk
2024-10-25 21:11
4.5K
waifu2x-converter-cpp-5.3.4-r9.apk
2025-07-07 09:32
12M
wabt-doc-1.0.37-r0.apk
2025-04-06 23:37
13K
wabt-1.0.37-r0.apk
2025-04-06 23:37
3.9M
w_scan2-doc-1.0.17-r0.apk
2025-06-11 19:40
4.2K
w_scan2-1.0.17-r0.apk
2025-06-11 19:40
132K
vym-doc-2.9.26-r0.apk
2024-10-25 21:11
3.4M
vym-2.9.26-r0.apk
2024-10-25 21:11
2.8M
vscodium-zsh-completion-1.106.37943-r0.apk
2025-12-21 20:09
2.7K
vscodium-bash-completion-1.106.37943-r0.apk
2025-12-21 20:09
2.2K
vscodium-1.106.37943-r0.apk
2025-12-21 20:09
29M
volumeicon-lang-0.5.1-r1.apk
2024-10-25 21:11
3.8K
volumeicon-0.5.1-r1.apk
2024-10-25 21:11
42K
volatility3-pyc-2.26.2-r0.apk
2025-11-20 22:27
1.3M
volatility3-2.26.2-r0.apk
2025-11-20 22:27
1.0M
voikko-fi-2.5-r0.apk
2024-10-25 21:11
1.6M
vmtouch-doc-1.3.1-r0.apk
2024-10-25 21:11
8.0K
vmtouch-1.3.1-r0.apk
2024-10-25 21:11
12K
vmlinux.h-6.18-r0.apk
2025-12-16 23:34
234K
vlang-0.4.11-r0.apk
2025-06-21 15:58
30M
vkbasalt-doc-0.3.2.10-r0.apk
2024-10-25 21:11
3.1K
vkbasalt-0.3.2.10-r0.apk
2024-10-25 21:11
397K
vixl-dev-8.0.0-r0.apk
2025-07-15 19:26
347K
vixl-8.0.0-r0.apk
2025-07-15 19:26
808K
vit-pyc-2.3.2-r1.apk
2024-10-25 21:11
151K
vit-2.3.2-r1.apk
2024-10-25 21:11
80K
visidata-zsh-completion-3.3-r0.apk
2025-09-15 15:56
9.4K
visidata-pyc-3.3-r0.apk
2025-09-15 15:56
846K
visidata-doc-3.3-r0.apk
2025-09-15 15:56
18K
visidata-3.3-r0.apk
2025-09-15 15:56
425K
virtualgl-doc-3.1.4-r0.apk
2025-10-09 19:38
315K
virtualgl-dev-3.1.4-r0.apk
2025-10-09 19:38
6.1K
virtualgl-3.1.4-r0.apk
2025-10-09 19:38
1.8M
virter-zsh-completion-0.29.0-r6.apk
2026-01-17 23:42
4.0K
virter-fish-completion-0.29.0-r6.apk
2026-01-17 23:42
4.3K
virter-doc-0.29.0-r6.apk
2026-01-17 23:42
15K
virter-bash-completion-0.29.0-r6.apk
2026-01-17 23:42
6.1K
virter-0.29.0-r6.apk
2026-01-17 23:42
5.5M
virtctl-zsh-completion-1.6.2-r2.apk
2026-01-17 23:42
4.0K
virtctl-fish-completion-1.6.2-r2.apk
2026-01-17 23:42
4.3K
virtctl-bash-completion-1.6.2-r2.apk
2026-01-17 23:42
5.1K
virtctl-1.6.2-r2.apk
2026-01-17 23:42
14M
vimv-doc-3.1.0-r0.apk
2025-10-12 23:19
2.0K
vimv-3.1.0-r0.apk
2025-10-12 23:19
234K
vim-rust-305-r1.apk
2025-08-21 09:55
20K
vim-airline-doc-0.11-r0.apk
2024-10-25 21:11
12K
vim-airline-0.11-r0.apk
2024-10-25 21:11
86K
vile-doc-9.8z_p1-r2.apk
2025-10-09 19:38
357K
vile-common-9.8z_p1-r2.apk
2025-10-09 19:38
362K
vile-9.8z_p1-r2.apk
2025-10-09 19:38
749K
viewnior-lang-1.8-r1.apk
2024-10-25 21:11
85K
viewnior-doc-1.8-r1.apk
2024-10-25 21:11
2.1K
viewnior-1.8-r1.apk
2024-10-25 21:11
74K
video-trimmer-lang-25.03-r0.apk
2025-06-30 15:44
93K
video-trimmer-25.03-r0.apk
2025-06-30 15:44
358K
vidcutter-pyc-6.0.5.3-r0.apk
2024-10-25 21:11
1.9M
vidcutter-doc-6.0.5.3-r0.apk
2024-10-25 21:11
24K
vidcutter-6.0.5.3-r0.apk
2024-10-25 21:11
2.8M
victoria-logs-vlogscli-1.41.1-r1.apk
2026-01-17 23:42
3.1M
victoria-logs-vlagent-1.41.1-r1.apk
2026-01-17 23:42
3.7M
victoria-logs-openrc-1.41.1-r1.apk
2026-01-17 23:42
2.4K
victoria-logs-1.41.1-r1.apk
2026-01-17 23:42
5.0M
vice-doc-3.9-r3.apk
2026-01-09 21:12
2.2M
vice-3.9-r3.apk
2026-01-09 21:12
13M
vfd-configurations-0_git20230612-r0.apk
2024-10-25 21:11
25K
vera++-1.3.0-r11.apk
2025-10-12 16:25
185K
vectoroids-doc-1.1.0-r2.apk
2024-10-25 21:11
2.3K
vectoroids-1.1.0-r2.apk
2024-10-25 21:11
281K
vector-openrc-0.50.0-r0.apk
2025-10-09 19:38
2.2K
vector-doc-0.50.0-r0.apk
2025-10-09 19:38
5.8K
vector-0.50.0-r0.apk
2025-10-09 19:38
23M
vcstool-zsh-completion-0.3.0-r5.apk
2024-10-25 21:11
1.7K
vcstool-tcsh-completion-0.3.0-r5.apk
2024-10-25 21:11
1.6K
vcstool-pyc-0.3.0-r5.apk
2024-10-25 21:11
58K
vcstool-bash-completion-0.3.0-r5.apk
2024-10-25 21:11
1.8K
vcstool-0.3.0-r5.apk
2024-10-25 21:11
35K
vcsh-zsh-completion-2.0.5-r0.apk
2024-10-25 21:11
2.9K
vcsh-doc-2.0.5-r0.apk
2024-10-25 21:11
27K
vcsh-bash-completion-2.0.5-r0.apk
2024-10-25 21:11
2.9K
vcsh-2.0.5-r0.apk
2024-10-25 21:11
8.8K
vcmi-1.7.1-r0.apk
2025-12-31 08:49
13M
vcdimager-doc-2.0.1-r5.apk
2025-01-26 07:27
74K
vcdimager-dev-2.0.1-r5.apk
2025-01-26 07:27
125K
vcdimager-2.0.1-r5.apk
2025-01-26 07:27
471K
varnish-modules-doc-0.26.0-r0.apk
2025-07-06 20:24
22K
varnish-modules-0.26.0-r0.apk
2025-07-06 20:24
54K
vals-0.42.4-r2.apk
2026-01-17 23:42
35M
vali-dev-0.1.1-r0.apk
2026-01-25 20:00
4.3K
vali-0.1.1-r0.apk
2026-01-25 20:00
31K
valent-libs-1.0.0_alpha49-r0.apk
2025-12-24 19:31
132K
valent-lang-1.0.0_alpha49-r0.apk
2025-12-24 19:31
39K
valent-dev-1.0.0_alpha49-r0.apk
2025-12-24 19:31
94K
valent-1.0.0_alpha49-r0.apk
2025-12-24 19:31
345K
uxn-doc-1.0-r0.apk
2024-10-25 21:11
4.2K
uxn-1.0-r0.apk
2024-10-25 21:11
42K
uucp-doc-1.07-r6.apk
2024-10-25 21:11
118K
uucp-1.07-r6.apk
2024-10-25 21:11
487K
ustr-static-1.0.4-r1.apk
2024-10-25 21:11
166K
ustr-doc-1.0.4-r1.apk
2024-10-25 21:11
97K
ustr-dev-1.0.4-r1.apk
2024-10-25 21:11
91K
ustr-debug-1.0.4-r1.apk
2024-10-25 21:11
73K
ustr-1.0.4-r1.apk
2024-10-25 21:11
58K
usql-0.19.26-r2.apk
2026-01-17 23:42
39M
usbtop-1.0-r0.apk
2025-04-13 22:28
13K
usb-moded-notify-systemd-0.3.0-r0.apk
2025-12-28 19:16
1.8K
usb-moded-notify-0.3.0-r0.apk
2025-12-28 19:16
3.1K
urlwatch-pyc-2.28-r2.apk
2024-10-25 21:11
101K
urlwatch-doc-2.28-r2.apk
2024-10-25 21:11
33K
urlwatch-2.28-r2.apk
2024-10-25 21:11
49K
uranium-5.2.2-r3.apk
2024-10-25 21:11
596K
upterm-zsh-completion-0.17.0-r2.apk
2026-01-17 23:42
4.0K
upterm-server-openrc-0.17.0-r2.apk
2026-01-17 23:42
2.0K
upterm-server-0.17.0-r2.apk
2026-01-17 23:42
6.3M
upterm-doc-0.17.0-r2.apk
2026-01-17 23:42
7.1K
upterm-bash-completion-0.17.0-r2.apk
2026-01-17 23:42
5.6K
upterm-0.17.0-r2.apk
2026-01-17 23:42
6.7M
update-sysfs-2.1.1_p7-r0.apk
2025-11-12 11:44
3.1K
up-0.4-r35.apk
2026-01-17 23:42
1.2M
unit-php82-1.35.0-r0.apk
2025-10-24 04:09
33K
unit-php81-1.35.0-r1.apk
2025-09-15 17:03
33K
unicorn-dev-2.1.4-r0.apk
2025-10-14 16:46
8.3M
unicorn-2.1.4-r0.apk
2025-10-14 16:46
6.9M
undock-0.10.0-r6.apk
2026-01-17 23:42
9.6M
ufw-docker-doc-251123-r0.apk
2026-01-04 01:59
14K
ufw-docker-251123-r0.apk
2026-01-04 01:59
9.6K
ueberzug-pyc-18.3.1-r0.apk
2025-02-22 17:07
64K
ueberzug-18.3.1-r0.apk
2025-02-22 17:07
65K
udpt-openrc-3.1.2-r0.apk
2024-10-25 21:11
1.8K
udpt-3.1.2-r0.apk
2024-10-25 21:11
673K
uclient-fetch-20251003-r0.apk
2026-01-05 20:39
10K
uclient-dev-20251003-r0.apk
2026-01-05 20:39
3.5K
uclient-20251003-r0.apk
2026-01-05 20:39
19K
ubus-dev-2025.10.17-r0.apk
2025-10-25 14:56
5.6K
ubus-2025.10.17-r0.apk
2025-10-25 14:56
37K
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk
2024-10-25 21:11
2.3K
ubuntu-archive-keyring-2023.11.28.1-r0.apk
2024-10-25 21:11
16K
ubase-doc-20200605-r3.apk
2024-10-25 21:11
21K
ubase-20200605-r3.apk
2024-10-25 21:11
44K
uasm-2.56.2-r0.apk
2024-10-25 21:11
291K
u1db-qt-0.1.8-r0.apk
2024-10-25 21:11
97K
u-boot-s5p6818-1.2-r0.apk
2024-10-25 21:11
175K
typstyle-0.12.14-r0.apk
2025-01-12 14:52
475K
typobuster-1.0.0-r0.apk
2025-05-03 22:03
129K
typlite-0.14.2-r0.apk
2025-11-22 17:17
15M
ty-zsh-completion-0.0.4-r0.apk
2025-12-21 19:51
3.5K
ty-pyc-0.0.4-r0.apk
2025-12-21 19:51
3.9K
ty-fish-completion-0.0.4-r0.apk
2025-12-21 19:51
3.2K
ty-bash-completion-0.0.4-r0.apk
2025-12-21 19:51
2.6K
ty-0.0.4-r0.apk
2025-12-21 19:51
6.3M
twinkle-doc-1.10.3-r3.apk
2025-01-04 22:55
3.5K
twinkle-1.10.3-r3.apk
2025-01-04 22:55
2.4M
twiggy-0.6.0-r3.apk
2024-10-25 21:11
764K
twemproxy-doc-0.5.0-r0.apk
2024-10-25 21:11
17K
twemproxy-0.5.0-r0.apk
2024-10-25 21:11
67K
tuwunel-openrc-1.4.9.1-r0.apk
2026-01-01 14:24
2.0K
tuwunel-1.4.9.1-r0.apk
2026-01-01 14:24
13M
turntable-lang-0.5.1-r0.apk
2026-01-08 03:33
27K
turntable-0.5.1-r0.apk
2026-01-08 03:33
240K
turnstile-openrc-0.1.10-r3.apk
2024-10-25 21:11
1.8K
turnstile-doc-0.1.10-r3.apk
2024-10-25 21:11
5.7K
turnstile-0.1.10-r3.apk
2024-10-25 21:11
38K
turn-rs-openrc-3.4.0-r1.apk
2025-06-12 17:22
2.0K
turn-rs-doc-3.4.0-r1.apk
2025-06-12 17:22
11K
turn-rs-3.4.0-r1.apk
2025-06-12 17:22
541K
tuptime-openrc-5.2.4-r2.apk
2025-09-06 18:20
1.8K
tuptime-doc-5.2.4-r2.apk
2025-09-06 18:20
3.8K
tuptime-5.2.4-r2.apk
2025-09-06 18:20
14K
tup-vim-0.7.11-r1.apk
2025-06-19 10:44
2.5K
tup-doc-0.7.11-r1.apk
2025-06-19 10:44
21K
tup-0.7.11-r1.apk
2025-06-19 10:44
230K
tuios-doc-0.6.0-r0.apk
2026-01-26 14:42
7.7K
tuios-0.6.0-r0.apk
2026-01-26 14:42
3.8M
tui-journal-doc-0.10.0-r0.apk
2024-10-25 21:11
6.9K
tui-journal-0.10.0-r0.apk
2024-10-25 21:11
1.8M
ttyper-1.6.0-r0.apk
2025-02-05 22:45
559K
ttynvt-0.17-r0.apk
2025-08-22 14:50
15K
tty-share-2.4.0-r24.apk
2026-01-17 23:42
3.6M
tty-proxy-0.0.2-r34.apk
2026-01-17 23:42
2.5M
tty-clock-doc-2.3_git20240104-r0.apk
2024-10-25 21:11
3.1K
tty-clock-2.3_git20240104-r0.apk
2024-10-25 21:11
8.6K
ttfautohint-libs-1.8.4-r0.apk
2024-10-25 21:11
108K
ttfautohint-gui-1.8.4-r0.apk
2024-10-25 21:11
59K
ttfautohint-doc-1.8.4-r0.apk
2024-10-25 21:11
8.1K
ttfautohint-dev-1.8.4-r0.apk
2024-10-25 21:11
159K
ttfautohint-1.8.4-r0.apk
2024-10-25 21:11
29K
tsung-1.8.0-r3.apk
2025-06-13 07:35
722K
trivy-0.68.2-r1.apk
2026-01-17 23:42
64M
trippy-zsh-completion-0.13.0-r0.apk
2025-05-19 01:20
4.9K
trippy-bash-completion-0.13.0-r0.apk
2025-05-19 01:20
3.2K
trippy-0.13.0-r0.apk
2025-05-19 01:20
1.9M
trigger-rally-doc-0.6.7-r3.apk
2025-03-26 12:51
28K
trigger-rally-data-0.6.7-r3.apk
2025-03-26 12:51
352M
trigger-rally-0.6.7-r3.apk
2025-03-26 12:51
294K
triforce-0.3.2-r0.apk
2025-06-03 09:03
370K
tremc-zsh-completion-0.9.4-r0.apk
2025-07-16 10:48
1.8K
tremc-doc-0.9.4-r0.apk
2025-07-16 10:48
2.8K
tremc-bash-completion-0.9.4-r0.apk
2025-07-16 10:48
1.9K
tremc-0.9.4-r0.apk
2025-07-16 10:48
53K
treecat-doc-1.0.2_git20240706-r1.apk
2024-11-29 13:12
3.3K
treecat-1.0.2_git20240706-r1.apk
2024-11-29 13:12
143K
tree-sitter-typst-0.11.0-r1.apk
2026-01-04 17:20
110K
tree-sitter-pascal-doc-0.9.1-r0.apk
2024-10-25 21:11
2.3K
tree-sitter-pascal-0.9.1-r0.apk
2024-10-25 21:11
81K
tree-sitter-make-1.1.1-r0.apk
2026-01-03 21:54
38K
tree-sitter-just-0_git20230318-r0.apk
2024-10-25 21:11
15K
tree-sitter-hcl-1.2.0-r0.apk
2025-06-19 02:45
23K
tree-sitter-haskell-0.23.1-r0.apk
2025-01-09 17:04
284K
tree-sitter-hare-0_git20230616-r2.apk
2025-07-23 06:04
33K
tree-sitter-gleam-1.1.0-r0.apk
2025-10-09 19:38
64K
tree-sitter-git-rebase-0_git20240722-r0.apk
2025-03-11 04:55
5.5K
tree-sitter-git-diff-0_git20230730-r1.apk
2025-07-25 18:54
9.9K
tree-sitter-git-commit-0_git20211225-r4.apk
2025-07-25 18:54
13K
tree-sitter-dart-0_git20250228-r0.apk
2025-03-11 04:55
99K
tree-sitter-clojure-0.0.13-r0.apk
2025-07-23 13:59
23K
tree-sitter-caddy-doc-0_git20230322-r0.apk
2024-10-25 21:11
2.3K
tree-sitter-caddy-0_git20230322-r0.apk
2024-10-25 21:11
70K
tre-static-0.8.0-r2.apk
2024-10-25 21:11
28K
tre-dev-0.8.0-r2.apk
2024-10-25 21:11
5.2K
tre-0.8.0-r2.apk
2024-10-25 21:11
26K
trantor-doc-1.5.18-r0.apk
2024-10-25 21:11
2.6K
trantor-dev-1.5.18-r0.apk
2024-10-25 21:11
34K
trantor-1.5.18-r0.apk
2024-10-25 21:11
218K
transmission-remote-gtk-lang-1.6.0-r0.apk
2024-10-25 21:11
106K
transmission-remote-gtk-doc-1.6.0-r0.apk
2024-10-25 21:11
4.2K
transmission-remote-gtk-1.6.0-r0.apk
2024-10-25 21:11
144K
transito-doc-0.10.0-r1.apk
2026-01-17 23:42
756K
transito-0.10.0-r1.apk
2026-01-17 23:42
8.1M
trace-cmd-doc-3.3.1-r1.apk
2025-01-26 07:27
171K
trace-cmd-dbg-3.3.1-r1.apk
2025-01-26 07:27
507K
trace-cmd-bash-completion-3.3.1-r1.apk
2025-01-26 07:27
3.4K
trace-cmd-3.3.1-r1.apk
2025-01-26 07:27
164K
tqm-1.18.0-r1.apk
2026-01-17 23:42
4.4M
tpp-bypass-0.8.4-r0.apk
2024-10-25 21:11
13K
tpm2-pkcs11-pyc-1.9.2-r0.apk
2025-12-13 17:10
70K
tpm2-pkcs11-dev-1.9.2-r0.apk
2025-12-13 17:10
1.9K
tpm2-pkcs11-1.9.2-r0.apk
2025-12-13 17:10
130K
toybox-0.8.13-r0.apk
2025-10-16 12:30
256K
touchpad-emulator-0.3-r0.apk
2025-05-26 11:33
13K
toss-1.1-r1.apk
2025-05-29 14:00
12K
torrent-file-editor-0.3.18-r0.apk
2024-10-25 21:11
366K
topgit-doc-0.19.13-r1.apk
2024-10-25 21:11
73K
topgit-bash-completion-0.19.13-r1.apk
2024-10-25 21:11
4.0K
topgit-0.19.13-r1.apk
2024-10-25 21:11
127K
tonutils-reverse-proxy-doc-0.4.6-r5.apk
2026-01-17 23:42
3.2K
tonutils-reverse-proxy-0.4.6-r5.apk
2026-01-17 23:42
4.1M
toml2json-doc-1.3.2-r0.apk
2025-09-01 15:13
3.7K
toml2json-1.3.2-r0.apk
2025-09-01 15:13
328K
tomcat9-openrc-9.0.112-r0.apk
2025-12-01 21:49
4.6K
tomcat9-examples-9.0.112-r0.apk
2025-12-01 21:49
439K
tomcat9-doc-9.0.112-r0.apk
2025-12-01 21:49
1.7M
tomcat9-admin-9.0.112-r0.apk
2025-12-01 21:49
127K
tomcat9-9.0.112-r0.apk
2025-12-01 21:49
6.8M
tofutf-server-openrc-0.10.0-r11.apk
2026-01-17 23:42
2.0K
tofutf-server-0.10.0-r11.apk
2026-01-17 23:42
13M
tofutf-cli-0.10.0-r11.apk
2026-01-17 23:42
8.0M
tofutf-agent-openrc-0.10.0-r11.apk
2026-01-17 23:42
2.0K
tofutf-agent-0.10.0-r11.apk
2026-01-17 23:42
8.6M
tofutf-0.10.0-r11.apk
2026-01-17 23:42
1.3K
tofu-ls-doc-0.2.0-r2.apk
2026-01-17 23:42
35K
tofu-ls-0.2.0-r2.apk
2026-01-17 23:42
5.5M
today-doc-6.2.1-r0.apk
2025-06-17 17:29
3.3K
today-6.2.1-r0.apk
2025-06-17 17:29
3.2K
toapk-1.0-r0.apk
2024-10-25 21:11
11K
tnef-doc-1.4.18-r0.apk
2024-10-25 21:11
4.2K
tnef-1.4.18-r0.apk
2024-10-25 21:11
25K
tncattach-doc-0.1.9-r1.apk
2024-10-25 21:11
3.9K
tncattach-0.1.9-r1.apk
2024-10-25 21:11
22K
tmux-resurrect-doc-4.0.0-r0.apk
2024-10-25 21:11
8.4K
tmux-resurrect-4.0.0-r0.apk
2024-10-25 21:11
14K
tmpmail-doc-1.2.3-r2.apk
2024-10-25 21:11
3.2K
tmpmail-1.2.3-r2.apk
2024-10-25 21:11
7.0K
tmpl-doc-0.4.0-r17.apk
2026-01-17 23:42
2.3K
tmpl-0.4.0-r17.apk
2026-01-17 23:42
2.5M
tmate-doc-2.4.0-r4.apk
2024-10-25 21:11
72K
tmate-2.4.0-r4.apk
2024-10-25 21:11
265K
tldr-python-client-pyc-3.3.0-r0.apk
2024-12-01 18:09
14K
tldr-python-client-doc-3.3.0-r0.apk
2024-12-01 18:09
3.5K
tldr-python-client-3.3.0-r0.apk
2024-12-01 18:09
12K
tinyscheme-1.42-r1.apk
2024-10-25 21:11
58K
tinymist-0.14.2-r0.apk
2025-11-22 17:17
19M
tinygltf-dev-2.9.7-r0.apk
2025-11-02 21:50
57K
tinygltf-2.9.7-r0.apk
2025-11-02 21:50
136K
tinyemu-2019.12.21-r0.apk
2025-05-26 01:35
147K
tintin-2.02.51-r0.apk
2025-11-24 13:41
1.8M
timoni-zsh-completion-0.23.0-r11.apk
2026-01-17 23:42
4.0K
timoni-fish-completion-0.23.0-r11.apk
2026-01-17 23:42
4.3K
timoni-doc-0.23.0-r11.apk
2026-01-17 23:42
338K
timoni-bash-completion-0.23.0-r11.apk
2026-01-17 23:42
8.0K
timoni-0.23.0-r11.apk
2026-01-17 23:42
22M
timewarrior-doc-1.7.1-r0.apk
2024-10-25 21:11
22K
timewarrior-1.7.1-r0.apk
2024-10-25 21:11
263K
timew-doc-1.4.3-r1.apk
2024-10-25 21:11
53K
timew-bash-completion-1.4.3-r1.apk
2024-10-25 21:11
2.8K
timew-1.4.3-r1.apk
2024-10-25 21:11
249K
timeshift-lang-25.12.4-r0.apk
2026-01-09 17:02
1.0M
timeshift-doc-25.12.4-r0.apk
2026-01-09 17:02
3.2K
timeshift-25.12.4-r0.apk
2026-01-09 17:02
454K
ticker-zsh-completion-5.0.7-r8.apk
2026-01-17 23:42
4.1K
ticker-fish-completion-5.0.7-r8.apk
2026-01-17 23:42
4.3K
ticker-bash-completion-5.0.7-r8.apk
2026-01-17 23:42
6.1K
ticker-5.0.7-r8.apk
2026-01-17 23:42
3.3M
tick-doc-1.2.3-r0.apk
2025-10-09 19:38
5.5K
tick-1.2.3-r0.apk
2025-10-09 19:38
11K
thunarx-python-doc-0.5.2-r2.apk
2024-10-25 21:11
25K
thunarx-python-0.5.2-r2.apk
2024-10-25 21:11
9.9K
thunar-gtkhash-plugin-1.5-r0.apk
2024-10-25 21:11
23K
thumbdrives-0.3.2-r2.apk
2024-10-25 21:11
11K
throttled-pyc-0.10.0-r1.apk
2024-12-15 20:25
28K
throttled-openrc-0.10.0-r1.apk
2024-12-15 20:25
1.6K
throttled-0.10.0-r1.apk
2024-12-15 20:25
15K
theme.sh-doc-1.1.5-r0.apk
2024-10-25 21:11
2.3K
theme.sh-1.1.5-r0.apk
2024-10-25 21:11
39K
thelounge-openrc-4.4.3-r0.apk
2024-10-25 21:11
2.0K
thelounge-doc-4.4.3-r0.apk
2024-10-25 21:11
2.3K
thelounge-4.4.3-r0.apk
2024-10-25 21:11
28M
thefuck-pyc-3.32-r5.apk
2024-10-25 21:11
156K
thefuck-3.32-r5.apk
2024-10-25 21:11
83K
theforceengine-doc-1.09.540-r2.apk
2026-01-09 21:12
6.3M
theforceengine-1.09.540-r2.apk
2026-01-09 21:12
6.8M
thanos-openrc-0.31.0-r19.apk
2026-01-17 23:42
1.9K
thanos-0.31.0-r19.apk
2026-01-17 23:42
20M
tfupdate-doc-0.8.2-r12.apk
2026-01-17 23:42
2.3K
tfupdate-0.8.2-r12.apk
2026-01-17 23:42
4.6M
texstudio-4.9.1-r0.apk
2025-12-15 22:29
82M
texmaker-6.0.1-r0.apk
2025-06-27 09:41
12M
texlab-5.25.0-r0.apk
2025-12-30 22:14
8.6M
tetragon-client-zsh-completion-1.1.2-r11.apk
2026-01-17 23:42
4.0K
tetragon-client-fish-completion-1.1.2-r11.apk
2026-01-17 23:42
4.3K
tetragon-client-bash-completion-1.1.2-r11.apk
2026-01-17 23:42
5.1K
tetragon-client-1.1.2-r11.apk
2026-01-17 23:42
19M
termusic-mpv-0.12.0-r0.apk
2025-10-13 03:36
9.4M
terminology-lang-1.14.0-r0.apk
2025-05-28 00:49
143K
terminology-doc-1.14.0-r0.apk
2025-05-28 00:49
9.0K
terminology-1.14.0-r0.apk
2025-05-28 00:49
2.7M
terminalpp-ropen-0.8.4-r0.apk
2024-10-25 21:10
55K
terminalpp-0.8.4-r0.apk
2024-10-25 21:10
385K
termcolor-dev-2.1.0-r0.apk
2024-10-25 21:10
6.8K
termcolor-2.1.0-r0.apk
2024-10-25 21:10
1.5K
termbox-static-1.1.2-r1.apk
2024-10-25 21:10
13K
termbox-dev-1.1.2-r1.apk
2024-10-25 21:10
5.7K
termbox-1.1.2-r1.apk
2024-10-25 21:10
13K
tere-doc-1.6.0-r0.apk
2024-10-25 21:10
14K
tere-1.6.0-r0.apk
2024-10-25 21:10
971K
tenv-zsh-completion-4.9.0-r2.apk
2026-01-17 23:42
4.0K
tenv-fish-completion-4.9.0-r2.apk
2026-01-17 23:42
4.3K
tenv-bash-completion-4.9.0-r2.apk
2026-01-17 23:42
6.1K
tenv-4.9.0-r2.apk
2026-01-17 23:42
9.2M
tempo-vulture-openrc-2.10.0-r0.apk
2026-01-27 12:38
2.0K
tempo-vulture-2.10.0-r0.apk
2026-01-27 12:38
17M
tempo-query-2.10.0-r0.apk
2026-01-27 12:38
6.3M
tempo-openrc-2.10.0-r0.apk
2026-01-27 12:38
1.9K
tempo-cli-2.10.0-r0.apk
2026-01-27 12:38
21M
tempo-2.10.0-r0.apk
2026-01-27 12:38
30M
templ-0.3.960-r2.apk
2026-01-17 23:42
5.1M
telegram-tdlib-static-1.8.60-r0.apk
2026-01-15 18:18
23M
telegram-tdlib-dev-1.8.60-r0.apk
2026-01-15 18:18
204K
telegram-tdlib-1.8.60-r0.apk
2026-01-15 18:18
7.5M
telegram-bot-api-9.3-r0.apk
2026-01-15 15:16
7.3M
teapot-tools-0.4.2-r2.apk
2024-10-25 21:10
2.0M
tealdeer-zsh-completion-1.8.0-r0.apk
2025-10-28 03:27
2.4K
tealdeer-fish-completion-1.8.0-r0.apk
2025-10-28 03:27
2.3K
tealdeer-bash-completion-1.8.0-r0.apk
2025-10-28 03:27
2.0K
tealdeer-1.8.0-r0.apk
2025-10-28 03:27
774K
tdrop-doc-0.5.0-r0.apk
2024-10-25 21:10
8.9K
tdrop-0.5.0-r0.apk
2024-10-25 21:10
12K
tcpbench-doc-3.00-r1.apk
2025-09-13 03:00
5.3K
tcpbench-3.00-r1.apk
2025-09-13 03:00
21K
tcmu-runner-rbd-1.6.0-r6.apk
2024-10-25 21:10
13K
tcmu-runner-doc-1.6.0-r6.apk
2024-10-25 21:10
2.5K
tcmu-runner-1.6.0-r6.apk
2024-10-25 21:10
89K
tcmalloc-profiler-2.17-r0.apk
2025-08-05 15:00
82K
tcmalloc-minimal-debug-2.17-r0.apk
2025-08-05 15:00
103K
tcmalloc-minimal-2.17-r0.apk
2025-08-05 15:00
57K
tcmalloc-debug-2.17-r0.apk
2025-08-05 15:00
116K
tcmalloc-2.17-r0.apk
2025-08-05 15:00
74K
tcl-curl-doc-7.22.0-r0.apk
2024-10-25 21:10
38K
tcl-curl-7.22.0-r0.apk
2024-10-25 21:10
31K
tayga-doc-0.9.6-r0.apk
2026-01-04 15:16
6.0K
tayga-0.9.6-r0.apk
2026-01-04 15:16
29K
tauri-cli-2.4.0-r0.apk
2025-04-02 19:03
6.9M
taskwarrior-tui-fish-completion-0.26.3-r0.apk
2025-03-26 12:51
1.7K
taskwarrior-tui-doc-0.26.3-r0.apk
2025-03-26 12:51
3.9K
taskwarrior-tui-bash-completion-0.26.3-r0.apk
2025-03-26 12:51
2.0K
taskwarrior-tui-0.26.3-r0.apk
2025-03-26 12:51
1.1M
taskcafe-openrc-0.3.6-r19.apk
2026-01-17 23:42
1.8K
taskcafe-0.3.6-r19.apk
2026-01-17 23:42
13M
tartube-pyc-2.5.0-r2.apk
2025-12-09 13:12
1.1M
tartube-2.5.0-r2.apk
2025-12-09 13:12
2.7M
tanka-0.35.0-r2.apk
2026-01-17 23:42
6.8M
tanidvr-dhav2mkv-1.4.1-r2.apk
2025-03-26 12:51
14K
tanidvr-1.4.1-r2.apk
2025-03-26 12:51
25K
tangctl-0_git20241007-r10.apk
2026-01-17 23:42
2.5M
tang-openrc-15-r0.apk
2025-01-26 07:27
1.9K
tang-doc-15-r0.apk
2025-01-26 07:27
21K
tang-dbg-15-r0.apk
2025-01-26 07:27
32K
tang-15-r0.apk
2025-01-26 07:27
16K
tailspin-zsh-completion-5.5.0-r0.apk
2025-10-28 07:57
2.5K
tailspin-fish-completion-5.5.0-r0.apk
2025-10-28 07:57
2.1K
tailspin-doc-5.5.0-r0.apk
2025-10-28 07:57
3.0K
tailspin-bash-completion-5.5.0-r0.apk
2025-10-28 07:57
2.2K
tailspin-5.5.0-r0.apk
2025-10-28 07:57
1.1M
tachyon-scenes-0.99_beta6-r2.apk
2025-12-10 17:38
1.9M
tachyon-0.99_beta6-r2.apk
2025-12-10 17:38
101K
tabiew-0.11.0-r0.apk
2025-07-30 09:51
12M
t2sz-1.1.2-r0.apk
2024-10-25 21:10
9.0K
syncwhen-systemd-0.3-r0.apk
2025-11-01 18:26
1.8K
syncwhen-0.3-r0.apk
2025-11-01 18:26
5.3K
syncthing-gtk-pyc-0.9.4.5-r2.apk
2024-10-25 21:10
221K
syncthing-gtk-doc-0.9.4.5-r2.apk
2024-10-25 21:10
2.2K
syncthing-gtk-0.9.4.5-r2.apk
2024-10-25 21:10
440K
symlinks-doc-1.4.3-r0.apk
2025-05-03 22:03
3.9K
symlinks-1.4.3-r0.apk
2025-05-03 22:03
6.5K
symengine-0.12.0-r0.apk
2024-10-25 21:10
3.1M
symbiyosys-0.36-r0.apk
2024-10-25 21:10
38K
sydbox-vim-3.45.2-r0.apk
2025-12-03 03:47
7.2K
sydbox-utils-3.45.2-r0.apk
2025-12-03 03:47
6.1M
sydbox-test-3.45.2-r0.apk
2025-12-03 03:47
1.7M
sydbox-syd-3.45.2-r0.apk
2025-12-03 03:47
1.9M
sydbox-oci-3.45.2-r0.apk
2025-12-03 03:47
2.8M
sydbox-doc-3.45.2-r0.apk
2025-12-03 03:47
165K
sydbox-3.45.2-r0.apk
2025-12-03 03:47
4.4M
syd-tui-0.2.2-r0.apk
2025-12-08 01:04
373K
sxcs-doc-1.1.0-r0.apk
2024-10-25 21:10
2.6K
sxcs-1.1.0-r0.apk
2024-10-25 21:10
8.7K
swi-prolog-xpce-doc-9.2.9-r0.apk
2024-12-21 11:08
1.0M
swi-prolog-xpce-9.2.9-r0.apk
2024-12-21 11:08
922K
swi-prolog-pyc-9.2.9-r0.apk
2024-12-21 11:08
22K
swi-prolog-doc-9.2.9-r0.apk
2024-12-21 11:08
2.1M
swi-prolog-9.2.9-r0.apk
2024-12-21 11:08
4.8M
swhkd-doc-1.2.1-r0.apk
2024-10-25 21:10
6.2K
swhkd-1.2.1-r0.apk
2024-10-25 21:10
1.0M
swayhide-0.2.1-r2.apk
2024-10-25 21:10
245K
sway-audio-idle-inhibit-0.1.2-r0.apk
2024-10-25 21:10
10K
swappy-lang-1.7.1-r0.apk
2025-08-22 01:29
3.8K
swappy-doc-1.7.1-r0.apk
2025-08-22 01:29
3.8K
swappy-1.7.1-r0.apk
2025-08-22 01:29
28K
swaks-doc-20240103.0-r0.apk
2024-10-25 21:10
50K
swaks-20240103.0-r0.apk
2024-10-25 21:10
66K
svls-doc-0.2.14-r0.apk
2025-12-13 03:59
2.2K
svls-0.2.14-r0.apk
2025-12-13 03:59
3.2M
svgbob-0.7.6-r0.apk
2025-02-01 22:08
417K
suru-icon-theme-2025.05.0-r0.apk
2025-05-09 19:27
2.9M
surfraw-doc-2.3.0-r0.apk
2024-10-25 21:10
18K
surfraw-2.3.0-r0.apk
2024-10-25 21:10
79K
surf-doc-2.1-r3.apk
2024-10-25 21:10
4.6K
surf-2.1-r3.apk
2024-10-25 21:10
23K
supersonik-0.1.0-r3.apk
2025-12-04 19:50
1.1M
supermin-doc-5.2.2-r2.apk
2024-10-25 21:10
9.4K
supermin-5.2.2-r2.apk
2024-10-25 21:10
654K
supercollider-dev-3.14.1-r0.apk
2026-01-09 17:02
40K
supercollider-3.14.1-r0.apk
2026-01-09 17:02
8.2M
sudo-ldap-1.9.17_p1-r0.apk
2025-07-01 20:57
759K
subliminal-pyc-2.4.0-r0.apk
2025-11-24 01:46
179K
subliminal-2.4.0-r0.apk
2025-11-24 01:46
90K
sublime-music-pyc-0.12.0-r1.apk
2024-10-25 21:10
302K
sublime-music-0.12.0-r1.apk
2024-10-25 21:10
190K
stw-doc-0.3-r0.apk
2024-10-25 21:10
2.5K
stw-0.3-r0.apk
2024-10-25 21:10
7.9K
sturmreader-lang-3.7.2-r2.apk
2025-09-06 18:20
39K
sturmreader-3.7.2-r2.apk
2025-09-06 18:20
1.0M
stone-soup-0.33.1-r0.apk
2025-12-26 15:50
29M
stockfish-17-r0.apk
2025-03-03 23:40
62M
sthttpd-openrc-2.27.1-r2.apk
2024-10-25 21:10
1.9K
sthttpd-doc-2.27.1-r2.apk
2024-10-25 21:10
18K
sthttpd-2.27.1-r2.apk
2024-10-25 21:10
62K
stgit-zsh-completion-2.4.7-r1.apk
2024-10-25 21:10
24K
stgit-vim-2.4.7-r1.apk
2024-10-25 21:10
3.5K
stgit-fish-completion-2.4.7-r1.apk
2024-10-25 21:10
12K
stgit-emacs-2.4.7-r1.apk
2024-10-25 21:10
28K
stgit-doc-2.4.7-r1.apk
2024-10-25 21:10
126K
stgit-bash-completion-2.4.7-r1.apk
2024-10-25 21:10
17K
stgit-2.4.7-r1.apk
2024-10-25 21:10
1.8M
stern-zsh-completion-1.33.0-r2.apk
2026-01-17 23:42
4.0K
stern-fish-completion-1.33.0-r2.apk
2026-01-17 23:42
4.3K
stern-bash-completion-1.33.0-r2.apk
2026-01-17 23:42
5.8K
stern-1.33.0-r2.apk
2026-01-17 23:42
17M
steghide-doc-0.5.1.1-r0.apk
2024-10-25 21:10
14K
steghide-0.5.1.1-r0.apk
2024-10-25 21:10
138K
steamguard-cli-zsh-completion-0.17.1-r0.apk
2025-07-12 08:31
4.2K
steamguard-cli-bash-completion-0.17.1-r0.apk
2025-07-12 08:31
2.9K
steamguard-cli-0.17.1-r0.apk
2025-07-12 08:31
3.0M
stayrtr-openrc-0.6.3-r2.apk
2026-01-17 23:42
2.0K
stayrtr-0.6.3-r2.apk
2026-01-17 23:42
10M
startup-tools-2.0.3-r5.apk
2024-10-25 21:10
13K
startup-lang-2.0.3-r5.apk
2024-10-25 21:10
17K
startup-fish-completion-2.0.3-r5.apk
2024-10-25 21:10
5.4K
startup-doc-2.0.3-r5.apk
2024-10-25 21:10
48K
startup-dev-2.0.3-r5.apk
2024-10-25 21:10
5.8K
startup-bridge-udev-2.0.3-r5.apk
2024-10-25 21:10
30K
startup-bridge-dconf-2.0.3-r5.apk
2024-10-25 21:10
30K
startup-2.0.3-r5.apk
2024-10-25 21:10
401K
starfighter-doc-2.4-r0.apk
2024-10-25 21:10
22K
starfighter-2.4-r0.apk
2024-10-25 21:10
48M
stam-0.12.2-r0.apk
2025-09-22 14:09
1.5M
stalwart-mail-openrc-0.15.4-r3.apk
2026-01-22 02:50
2.1K
stalwart-mail-0.15.4-r3.apk
2026-01-22 02:50
18M
stalwart-cli-0.15.4-r3.apk
2026-01-22 02:50
2.1M
stacker-doc-1.1.3-r2.apk
2026-01-17 23:42
16K
stacker-1.1.3-r2.apk
2026-01-17 23:42
23M
sstp-client-doc-1.0.20-r3.apk
2025-10-24 04:29
4.9K
sstp-client-dev-1.0.20-r3.apk
2025-10-24 04:29
5.4K
sstp-client-1.0.20-r3.apk
2025-10-24 04:29
41K
ssss-doc-0.5.7-r0.apk
2024-10-25 21:10
3.3K
ssss-0.5.7-r0.apk
2024-10-25 21:10
12K
sssd-openrc-2.11.1-r2.apk
2025-11-20 22:27
1.7K
sssd-dev-2.11.1-r2.apk
2025-11-20 22:27
15K
sssd-2.11.1-r2.apk
2025-11-20 22:27
2.1M
sshuttle-pyc-1.1.2-r0.apk
2024-10-25 21:10
101K
sshuttle-doc-1.1.2-r0.apk
2024-10-25 21:10
8.5K
sshuttle-1.1.2-r0.apk
2024-10-25 21:10
62K
sshsrv-1.0-r18.apk
2026-01-17 23:42
1.0M
sshs-4.7.2-r0.apk
2025-05-03 22:03
670K
sshm-doc-1.10.0-r1.apk
2026-01-17 23:42
2.2K
sshm-1.10.0-r1.apk
2026-01-17 23:42
3.7M
ssh-tools-1.8-r0.apk
2024-10-25 21:10
26K
ssh-studio-pyc-1.3.1-r0.apk
2025-10-12 22:35
107K
ssh-studio-lang-1.3.1-r0.apk
2025-10-12 22:35
1.9K
ssh-studio-1.3.1-r0.apk
2025-10-12 22:35
202K
ssh-honeypot-openrc-0.1.1-r1.apk
2024-10-25 21:10
2.0K
ssh-honeypot-0.1.1-r1.apk
2024-10-25 21:10
9.1K
ssh-get-id-doc-0.2.0-r1.apk
2026-01-17 23:42
2.3K
ssh-get-id-0.2.0-r1.apk
2026-01-17 23:42
2.2M
ssdfs-tools-dev-4.09-r0.apk
2024-10-25 21:10
18K
ssdfs-tools-4.09-r0.apk
2024-10-25 21:10
96K
sregex-dev-0.0.1-r1.apk
2024-10-25 21:10
25K
sregex-0.0.1-r1.apk
2024-10-25 21:10
21K
srb2-data-2.2.15-r2.apk
2025-12-20 16:22
160M
srb2-2.2.15-r2.apk
2025-12-20 16:22
1.8M
srain-lang-1.8.1-r0.apk
2025-06-26 21:59
35K
srain-1.8.1-r0.apk
2025-06-26 21:59
165K
squeak-vm-doc-4.10.2.2614-r2.apk
2025-10-09 19:38
12K
squeak-vm-4.10.2.2614-r2.apk
2025-10-09 19:38
601K
sqruff-doc-0.25.26-r0.apk
2025-04-13 22:42
8.6K
sqruff-0.25.26-r0.apk
2025-04-13 22:42
2.0M
sqm-scripts-1.6.0-r0.apk
2024-10-25 21:10
20K
sqlmap-pyc-1.10-r0.apk
2026-01-12 18:29
1.2M
sqlmap-1.10-r0.apk
2026-01-12 18:29
6.8M
sqliteodbc-0.99991-r0.apk
2024-10-25 21:10
93K
sqlar-doc-0_git20180107-r1.apk
2024-10-25 21:10
3.3K
sqlar-0_git20180107-r1.apk
2024-10-25 21:10
14K
spreadtrum_flash-1.20240815-r0.apk
2025-05-08 14:22
37K
spread-sheet-widget-doc-0.10-r0.apk
2024-10-25 21:10
4.6K
spread-sheet-widget-dev-0.10-r0.apk
2024-10-25 21:10
365K
spread-sheet-widget-dbg-0.10-r0.apk
2024-10-25 21:10
194K
spread-sheet-widget-0.10-r0.apk
2024-10-25 21:10
46K
spotify-tui-0.25.0-r2.apk
2024-10-25 21:10
1.8M
spotify-player-0.20.4-r0.apk
2025-03-02 16:57
4.1M
sponskrub-3.7.2-r9.apk
2025-06-07 10:57
177K
spnavcfg-1.3-r0.apk
2026-01-10 00:44
38K
splitter-doc-0.4.1-r1.apk
2025-07-15 06:09
3.8K
splitter-0.4.1-r1.apk
2025-07-15 06:09
584K
spiped-1.6.4-r0.apk
2025-12-04 19:50
78K
spike-1.1.0-r0.apk
2024-10-25 21:10
1.4M
spice-html5-0.3.0-r1.apk
2024-10-25 21:10
438K
speedtest_exporter-openrc-0.3.2-r21.apk
2026-01-17 23:42
1.9K
speedtest_exporter-0.3.2-r21.apk
2026-01-17 23:42
3.7M
speedtest-go-openrc-1.1.5-r21.apk
2026-01-17 23:42
1.7K
speedtest-go-doc-1.1.5-r21.apk
2026-01-17 23:42
4.5K
speedtest-go-1.1.5-r21.apk
2026-01-17 23:42
5.2M
speedtest-examples-5.2.5-r1.apk
2024-10-25 21:10
13K
speedtest-doc-5.2.5-r1.apk
2024-10-25 21:10
18K
speedtest-5.2.5-r1.apk
2024-10-25 21:10
253K
speedcrunch-0.12-r3.apk
2024-10-25 21:10
1.1M
spark-2.8.3-r1.apk
2024-10-25 21:10
29M
spacenavd-1.3.1-r0.apk
2026-01-09 17:02
32K
spacectl-zsh-completion-1.12.0-r7.apk
2026-01-17 23:42
1.8K
spacectl-fish-completion-1.12.0-r7.apk
2026-01-17 23:42
7.1K
spacectl-doc-1.12.0-r7.apk
2026-01-17 23:42
2.3K
spacectl-bash-completion-1.12.0-r7.apk
2026-01-17 23:42
2.0K
spacectl-1.12.0-r7.apk
2026-01-17 23:42
5.5M
sourcegit-8.33-r0.apk
2024-10-25 21:10
23M
soundconverter-pyc-4.1.1-r0.apk
2025-07-29 15:12
77K
soundconverter-lang-4.1.1-r0.apk
2025-07-29 15:12
107K
soundconverter-doc-4.1.1-r0.apk
2025-07-29 15:12
4.7K
soundconverter-4.1.1-r0.apk
2025-07-29 15:12
165K
sos-0.8-r37.apk
2026-01-17 23:42
2.6M
sopwith-doc-2.5.0-r0.apk
2024-10-25 21:10
15K
sopwith-2.5.0-r0.apk
2024-10-25 21:10
51K
sonicradio-0.8.12-r1.apk
2026-01-17 23:42
4.2M
sonarr-openrc-4.0.16.2944-r0.apk
2025-11-17 15:37
2.0K
sonarr-4.0.16.2944-r0.apk
2025-11-17 15:37
27M
sonar-scanner-7.1.0.4889-r0.apk
2025-05-05 03:09
11M
somebar-doc-1.0.3-r0.apk
2024-10-25 21:10
2.4K
somebar-1.0.3-r0.apk
2024-10-25 21:10
43K
solarus-engine-doc-1.7.0-r2.apk
2025-07-23 06:04
3.3K
solarus-engine-1.7.0-r2.apk
2025-07-23 06:04
2.0M
solanum-lang-6.0.0-r0.apk
2025-04-10 15:04
47K
solanum-6.0.0-r0.apk
2025-04-10 15:04
240K
soapy-bladerf-0.4.2-r0.apk
2024-12-31 02:11
48K
so-0.4.10-r0.apk
2024-10-25 21:10
1.9M
snore-doc-0.3.1-r0.apk
2024-10-25 21:10
3.1K
snore-0.3.1-r0.apk
2024-10-25 21:10
4.7K
snippets-ls-0.0.4_git20240617-r11.apk
2026-01-17 23:42
1.3M
snapweb-0.9.2-r0.apk
2025-10-09 19:38
476K
snapraid-doc-13.0-r0.apk
2025-10-31 22:52
19K
snapraid-13.0-r0.apk
2025-10-31 22:52
268K
snapper-zsh-completion-0.13.0-r0.apk
2025-09-16 20:45
3.6K
snapper-lang-0.13.0-r0.apk
2025-09-16 20:45
219K
snapper-doc-0.13.0-r0.apk
2025-09-16 20:45
25K
snapper-dev-0.13.0-r0.apk
2025-09-16 20:45
11K
snapper-bash-completion-0.13.0-r0.apk
2025-09-16 20:45
3.4K
snapper-0.13.0-r0.apk
2025-09-16 20:45
1.0M
smplxmpp-doc-0.9.3-r5.apk
2025-10-12 14:09
25K
smplxmpp-0.9.3-r5.apk
2025-10-12 14:09
145K
smile-lang-2.11.0-r0.apk
2025-12-21 12:40
28K
smile-2.11.0-r0.apk
2025-12-21 12:40
873K
smb4k-doc-4.0.5-r0.apk
2026-01-11 02:09
4.6M
smb4k-4.0.5-r0.apk
2026-01-11 02:09
1.7M
smassh-pyc-3.1.6-r0.apk
2024-11-21 03:56
70K
smassh-3.1.6-r0.apk
2024-11-21 03:56
72K
sly-1.0.0-r4.apk
2025-11-17 00:39
4.0M
slurm-doc-0.4.4-r0.apk
2024-10-25 21:10
2.3K
slurm-0.4.4-r0.apk
2024-10-25 21:10
14K
sloccount-doc-2.26-r3.apk
2024-10-25 21:10
59K
sloccount-2.26-r3.apk
2024-10-25 21:10
59K
sish-openrc-2.16.1-r11.apk
2026-01-17 23:42
1.9K
sish-2.16.1-r11.apk
2026-01-17 23:42
7.7M
siril-lang-1.2.6-r5.apk
2025-09-27 23:15
1.6M
siril-doc-1.2.6-r5.apk
2025-09-27 23:15
18K
siril-1.2.6-r5.apk
2025-09-27 23:15
2.7M
sipgrep-2.2.0-r1.apk
2024-10-25 21:10
26K
sipexer-1.2.0-r9.apk
2026-01-17 23:42
2.7M
simpleble-dev-0.10.4-r0.apk
2026-01-13 04:12
30K
simpleble-0.10.4-r0.apk
2026-01-13 04:12
1.2K
simp1e-cursors-zenburn-left-0_git20250312-r0.apk
2025-03-26 12:51
540K
simp1e-cursors-zenburn-0_git20250312-r0.apk
2025-03-26 12:51
529K
simp1e-cursors-tokyo-night-storm-left-0_git20250312-r0.apk
2025-03-26 12:51
546K
simp1e-cursors-tokyo-night-storm-0_git20250312-r0.apk
2025-03-26 12:51
534K
simp1e-cursors-tokyo-night-light-left-0_git20250312-r0.apk
2025-03-26 12:51
527K
simp1e-cursors-tokyo-night-light-0_git20250312-r0.apk
2025-03-26 12:51
520K
simp1e-cursors-tokyo-night-left-0_git20250312-r0.apk
2025-03-26 12:51
541K
simp1e-cursors-tokyo-night-0_git20250312-r0.apk
2025-03-26 12:51
530K
simp1e-cursors-solarized-light-left-0_git20250312-r0.apk
2025-03-26 12:51
542K
simp1e-cursors-solarized-light-0_git20250312-r0.apk
2025-03-26 12:51
531K
simp1e-cursors-solarized-dark-left-0_git20250312-r0.apk
2025-03-26 12:51
535K
simp1e-cursors-solarized-dark-0_git20250312-r0.apk
2025-03-26 12:51
524K
simp1e-cursors-rose-pine-moon-left-0_git20250312-r0.apk
2025-03-26 12:51
550K
simp1e-cursors-rose-pine-moon-0_git20250312-r0.apk
2025-03-26 12:51
538K
simp1e-cursors-rose-pine-left-0_git20250312-r0.apk
2025-03-26 12:51
545K
simp1e-cursors-rose-pine-dawn-left-0_git20250312-r0.apk
2025-03-26 12:51
551K
simp1e-cursors-rose-pine-dawn-0_git20250312-r0.apk
2025-03-26 12:51
538K
simp1e-cursors-rose-pine-0_git20250312-r0.apk
2025-03-26 12:51
533K
simp1e-cursors-nord-light-left-0_git20250312-r0.apk
2025-03-26 12:51
525K
simp1e-cursors-nord-light-0_git20250312-r0.apk
2025-03-26 12:51
518K
simp1e-cursors-nord-dark-left-0_git20250312-r0.apk
2025-03-26 12:51
549K
simp1e-cursors-nord-dark-0_git20250312-r0.apk
2025-03-26 12:51
536K
simp1e-cursors-mix-light-left-0_git20250312-r0.apk
2025-03-26 12:51
451K
simp1e-cursors-mix-light-0_git20250312-r0.apk
2025-03-26 12:51
450K
simp1e-cursors-mix-dark-left-0_git20250312-r0.apk
2025-03-26 12:51
492K
simp1e-cursors-mix-dark-0_git20250312-r0.apk
2025-03-26 12:51
487K
simp1e-cursors-left-0_git20250312-r0.apk
2025-03-26 12:51
449K
simp1e-cursors-gruvbox-light-left-0_git20250312-r0.apk
2025-03-26 12:51
527K
simp1e-cursors-gruvbox-light-0_git20250312-r0.apk
2025-03-26 12:51
517K
simp1e-cursors-gruvbox-dark-left-0_git20250312-r0.apk
2025-03-26 12:51
537K
simp1e-cursors-gruvbox-dark-0_git20250312-r0.apk
2025-03-26 12:51
525K
simp1e-cursors-doc-0_git20250312-r0.apk
2025-03-26 12:51
14K
simp1e-cursors-dark-left-0_git20250312-r0.apk
2025-03-26 12:51
490K
simp1e-cursors-dark-0_git20250312-r0.apk
2025-03-26 12:51
484K
simp1e-cursors-catppuccin-mocha-left-0_git20250312-r0.apk
2025-03-26 12:51
540K
simp1e-cursors-catppuccin-mocha-0_git20250312-r0.apk
2025-03-26 12:51
530K
simp1e-cursors-catppuccin-macchiato-left-0_git20250312-r0.apk
2025-03-26 12:51
544K
simp1e-cursors-catppuccin-macchiato-0_git20250312-r0.apk
2025-03-26 12:51
533K
simp1e-cursors-catppuccin-latte-left-0_git20250312-r0.apk
2025-03-26 12:51
537K
simp1e-cursors-catppuccin-latte-0_git20250312-r0.apk
2025-03-26 12:51
528K
simp1e-cursors-catppuccin-frappe-left-0_git20250312-r0.apk
2025-03-26 12:51
547K
simp1e-cursors-catppuccin-frappe-0_git20250312-r0.apk
2025-03-26 12:51
535K
simp1e-cursors-breeze-left-0_git20250312-r0.apk
2025-03-26 12:51
509K
simp1e-cursors-breeze-dark-left-0_git20250312-r0.apk
2025-03-26 12:51
526K
simp1e-cursors-breeze-dark-0_git20250312-r0.apk
2025-03-26 12:51
520K
simp1e-cursors-breeze-0_git20250312-r0.apk
2025-03-26 12:51
504K
simp1e-cursors-adw-left-0_git20250312-r0.apk
2025-03-26 12:51
483K
simp1e-cursors-adw-dark-left-0_git20250312-r0.apk
2025-03-26 12:51
485K
simp1e-cursors-adw-dark-0_git20250312-r0.apk
2025-03-26 12:51
482K
simp1e-cursors-adw-0_git20250312-r0.apk
2025-03-26 12:51
478K
simp1e-cursors-0_git20250312-r0.apk
2025-03-26 12:51
447K
simh-3.11.1-r1.apk
2024-10-25 21:10
3.1M
simgear-dev-2024.1.1-r0.apk
2025-03-05 01:16
404K
simgear-2024.1.1-r0.apk
2025-03-05 01:16
2.1M
simavr-dev-1.7-r1.apk
2024-10-25 21:10
806K
simavr-1.7-r1.apk
2024-10-25 21:10
91K
silc-client-doc-1.1.11-r18.apk
2025-06-30 09:25
82K
silc-client-1.1.11-r18.apk
2025-06-30 09:25
859K
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk
2024-10-25 21:10
13K
sigrok-cli-doc-0.7.2-r0.apk
2024-10-25 21:10
8.0K
sigrok-cli-0.7.2-r0.apk
2024-10-25 21:10
38K
signal-desktop-7.85.0-r0.apk
2026-01-17 23:42
46M
sigma-pyc-0.23.1-r1.apk
2024-10-25 21:10
340K
sigma-0.23.1-r1.apk
2024-10-25 21:10
237K
sigil-lang-2.7.0-r0.apk
2025-12-18 04:08
2.6M
sigil-2.7.0-r0.apk
2025-12-18 04:08
4.7M
shutdown-clear-machine-id-1.0.0-r0.apk
2024-10-25 21:10
1.8K
shntool-doc-3.0.10-r6.apk
2026-01-17 23:42
10K
shntool-3.0.10-r6.apk
2026-01-17 23:42
59K
shipments-0.3.0-r0.apk
2024-10-25 21:10
23K
shine-3.1.1-r0.apk
2024-10-25 21:10
54K
shellinabox-openrc-2.21-r3.apk
2024-10-25 21:10
3.5K
shellinabox-doc-2.21-r3.apk
2024-10-25 21:10
19K
shellinabox-2.21-r3.apk
2024-10-25 21:10
119K
shc-4.0.3-r2.apk
2024-10-25 21:10
17K
shadowsocks-libev-doc-3.3.5-r4.apk
2024-10-25 21:10
28K
shadowsocks-libev-dev-3.3.5-r4.apk
2024-10-25 21:10
3.5K
shadowsocks-libev-3.3.5-r4.apk
2024-10-25 21:10
224K
sgt-puzzles-0_git20230310-r2.apk
2024-10-25 21:10
3.0M
sfwbar-doc-1.0_beta161-r0.apk
2025-07-31 15:29
27K
sfwbar-1.0_beta161-r0.apk
2025-07-31 15:29
288K
sflowtool-doc-6.02-r0.apk
2024-10-25 21:10
9.4K
sflowtool-6.02-r0.apk
2024-10-25 21:10
42K
sfizz-doc-1.2.3-r1.apk
2025-10-02 16:07
3.0K
sfizz-dev-1.2.3-r1.apk
2025-10-02 16:07
15K
sfizz-1.2.3-r1.apk
2025-10-02 16:07
1.6M
setroot-doc-2.0.2-r1.apk
2024-10-25 21:10
4.4K
setroot-2.0.2-r1.apk
2024-10-25 21:10
11K
serie-doc-0.5.7-r0.apk
2026-01-20 22:08
8.4K
serie-0.5.7-r0.apk
2026-01-20 22:08
901K
serialdv-libs-1.1.5-r0.apk
2025-10-24 22:29
60K
serialdv-dev-1.1.5-r0.apk
2025-10-24 22:29
5.3K
serialdv-1.1.5-r0.apk
2025-10-24 22:29
6.6K
sentrypeer-doc-4.0.4-r0.apk
2025-03-26 12:51
3.3K
sentrypeer-4.0.4-r0.apk
2025-03-26 12:51
1.7M
sentinel-proxy-openrc-2.1.0-r1.apk
2025-06-13 17:19
2.2K
sentinel-proxy-dev-2.1.0-r1.apk
2025-06-13 17:19
4.5K
sentinel-proxy-2.1.0-r1.apk
2025-06-13 17:19
44K
sentinel-minipot-openrc-2.3.0-r1.apk
2024-10-25 21:10
2.6K
sentinel-minipot-2.3.0-r1.apk
2024-10-25 21:10
44K
semaphoreui-zsh-completion-2.16.37-r2.apk
2026-01-17 23:42
4.0K
semaphoreui-openrc-2.16.37-r2.apk
2026-01-17 23:42
2.1K
semaphoreui-fish-completion-2.16.37-r2.apk
2026-01-17 23:42
4.3K
semaphoreui-doc-2.16.37-r2.apk
2026-01-17 23:42
2.3K
semaphoreui-bash-completion-2.16.37-r2.apk
2026-01-17 23:42
6.1K
semaphoreui-2.16.37-r2.apk
2026-01-17 23:42
16M
sedutil-doc-1.15.1-r1.apk
2024-10-25 21:10
3.1K
sedutil-1.15.1-r1.apk
2024-10-25 21:10
180K
secsipidx-libs-1.3.2-r18.apk
2026-01-17 23:42
2.3M
secsipidx-dev-1.3.2-r18.apk
2026-01-17 23:42
5.3M
secsipidx-1.3.2-r18.apk
2026-01-17 23:42
2.6M
seaweedfs-openrc-3.93-r5.apk
2026-01-17 23:42
1.9K
seaweedfs-doc-3.93-r5.apk
2026-01-17 23:42
14K
seaweedfs-3.93-r5.apk
2026-01-17 23:42
25M
sdrangel-7.22.9-r0.apk
2025-10-24 22:29
69M
sdparm-doc-1.12-r1.apk
2024-10-25 21:10
19K
sdparm-1.12-r1.apk
2024-10-25 21:10
148K
sdl3_image-doc-3.2.6-r0.apk
2026-01-08 03:33
2.1K
sdl3_image-dev-3.2.6-r0.apk
2026-01-08 03:33
12K
sdl3_image-3.2.6-r0.apk
2026-01-08 03:33
77K
sct-2018.12.18-r1.apk
2024-10-25 21:10
3.7K
screenkey-pyc-1.5-r7.apk
2025-07-23 06:04
73K
screenkey-doc-1.5-r7.apk
2025-07-23 06:04
11K
screenkey-1.5-r7.apk
2025-07-23 06:04
76K
scooper-doc-1.3-r1.apk
2024-10-25 21:10
2.6K
scooper-1.3-r1.apk
2024-10-25 21:10
519K
schismtracker-doc-20251014-r0.apk
2025-10-25 01:50
6.3K
schismtracker-20251014-r0.apk
2025-10-25 01:50
451K
scenefx-doc-0.4.1-r0.apk
2025-12-21 00:13
2.3K
scenefx-dev-0.4.1-r0.apk
2025-12-21 00:13
11K
scenefx-0.4.1-r0.apk
2025-12-21 00:13
57K
scap-workbench-doc-1.2.1-r3.apk
2024-10-25 21:10
1.6M
scap-workbench-1.2.1-r3.apk
2024-10-25 21:10
229K
scalingo-1.30.0-r16.apk
2026-01-17 23:42
5.1M
sc3-plugins-3.13.0-r2.apk
2025-02-09 00:46
9.8M
sc-controller-udev-0.5.5-r0.apk
2025-12-14 09:56
2.1K
sc-controller-pyc-0.5.5-r0.apk
2025-12-14 09:56
814K
sc-controller-0.5.5-r0.apk
2025-12-14 09:56
1.3M
sblim-wbemcli-doc-1.6.3-r1.apk
2024-10-25 21:10
4.5K
sblim-wbemcli-1.6.3-r1.apk
2024-10-25 21:10
94K
sblim-sfcc-doc-2.2.8-r3.apk
2024-10-25 21:10
35K
sblim-sfcc-dev-2.2.8-r3.apk
2024-10-25 21:10
22K
sblim-sfcc-2.2.8-r3.apk
2024-10-25 21:10
54K
sblg-doc-0.5.11-r0.apk
2024-10-25 21:10
1.3M
sblg-0.5.11-r0.apk
2024-10-25 21:10
39K
sbase-doc-0_git20210730-r3.apk
2024-10-25 21:10
58K
sbase-0_git20210730-r3.apk
2024-10-25 21:10
121K
sauerbraten-2020.12.29-r4.apk
2025-02-22 16:38
934M
satellite-openrc-1.0.0-r34.apk
2026-01-17 23:42
1.9K
satellite-doc-1.0.0-r34.apk
2026-01-17 23:42
3.0K
satellite-1.0.0-r34.apk
2026-01-17 23:42
2.1M
sandbar-0.2_git20250909-r0.apk
2025-12-21 15:37
15K
sacc-doc-1.07-r1.apk
2025-09-13 03:00
2.9K
sacc-1.07-r1.apk
2025-09-13 03:00
16K
saait-doc-0.8-r0.apk
2024-10-25 21:10
13K
saait-0.8-r0.apk
2024-10-25 21:10
7.3K
s5cmd-2.3.0-r9.apk
2026-01-17 23:42
4.8M
s-postgray-doc-0.8.3-r0.apk
2024-10-25 21:10
9.6K
s-postgray-0.8.3-r0.apk
2024-10-25 21:10
44K
s-dkim-sign-doc-0.6.2-r0.apk
2024-10-25 21:10
8.5K
s-dkim-sign-0.6.2-r0.apk
2024-10-25 21:10
55K
rygel-systemd-45.1-r0.apk
2026-01-05 16:35
1.7K
rygel-lang-45.1-r0.apk
2026-01-05 16:35
591K
rygel-doc-45.1-r0.apk
2026-01-05 16:35
9.7K
rygel-dev-45.1-r0.apk
2026-01-05 16:35
43K
rygel-45.1-r0.apk
2026-01-05 16:35
773K
ry-zsh-completion-0.5.2-r1.apk
2024-10-25 21:10
2.3K
ry-bash-completion-0.5.2-r1.apk
2024-10-25 21:10
2.0K
ry-0.5.2-r1.apk
2024-10-25 21:10
4.6K
rvlprog-0.91-r2.apk
2024-10-25 21:10
26K
ruuvi-prometheus-openrc-0.1.9-r8.apk
2026-01-17 23:42
1.7K
ruuvi-prometheus-0.1.9-r8.apk
2026-01-17 23:42
3.2M
rustscan-2.4.1-r0.apk
2025-12-22 03:56
1.6M
rustls-ffi-dev-0.15.0-r0.apk
2025-12-26 23:37
2.6M
rustls-ffi-0.15.0-r0.apk
2025-12-26 23:37
1.2M
rustical-0.11.11-r0.apk
2026-01-11 14:50
4.3M
rustic-zsh-completion-0.9.3-r0.apk
2024-10-25 21:10
13K
rustic-fish-completion-0.9.3-r0.apk
2024-10-25 21:10
17K
rustic-bash-completion-0.9.3-r0.apk
2024-10-25 21:10
8.6K
rustic-0.9.3-r0.apk
2024-10-25 21:10
5.8M
rustdesk-server-openrc-1.1.10.3-r0.apk
2024-10-25 21:10
2.2K
rustdesk-server-1.1.10.3-r0.apk
2024-10-25 21:10
2.4M
rust-script-0.36.0-r0.apk
2025-09-06 18:20
836K
ruri-doc-3.9.3-r0.apk
2025-10-27 10:05
2.2K
ruri-3.9.3-r0.apk
2025-10-27 10:05
107K
runu-0.12.3-r2.apk
2026-01-17 23:42
14M
runst-doc-0.2.0-r0.apk
2025-11-17 00:39
7.8K
runst-0.2.0-r0.apk
2025-11-17 00:39
1.8M
ruff-lsp-pyc-0.0.62-r0.apk
2025-03-11 04:55
35K
ruff-lsp-0.0.62-r0.apk
2025-03-11 04:55
21K
ruby-ruby-progressbar-1.13.0-r0.apk
2025-07-06 20:24
25K
ruby-path_expander-2.0.1-r0.apk
2026-01-10 06:04
6.7K
ruby-minitest-server-1.0.9-r0.apk
2025-11-21 23:33
5.4K
ruby-minitest-reporters-1.7.1-r0.apk
2025-07-06 20:24
20K
ruby-minitest-proveit-1.0.0-r0.apk
2025-07-06 20:24
4.8K
ruby-minitest-power_assert-0.3.1-r0.apk
2025-07-06 20:24
2.2K
ruby-minitest-focus-1.4.1-r0.apk
2026-01-04 01:58
6.4K
ruby-minitest-autotest-1.2.1-r0.apk
2026-01-10 14:08
14K
ruby-mail-2.9.0-r0.apk
2025-10-24 14:36
394K
ruby-libguestfs-1.56.1-r0.apk
2025-07-23 06:04
101K
ruby-hoe-4.5.1-r0.apk
2026-01-04 01:57
29K
ruby-hashdiff-doc-1.2.1-r0.apk
2025-10-21 06:18
2.2K
ruby-hashdiff-1.2.1-r0.apk
2025-10-21 06:18
9.0K
ruby-fast_gettext-3.1.0-r0.apk
2025-05-26 01:58
22K
ruby-facter-4.10.0-r0.apk
2025-07-28 04:04
218K
ruby-event_emitter-doc-0.2.6-r0.apk
2025-05-26 02:00
2.3K
ruby-event_emitter-0.2.6-r0.apk
2025-05-26 02:00
3.1K
ruby-dry-inflector-doc-1.3.1-r0.apk
2026-01-14 19:51
2.3K
ruby-dry-inflector-1.3.1-r0.apk
2026-01-14 19:51
8.3K
ruby-crack-doc-1.0.1-r0.apk
2025-10-21 06:14
2.2K
ruby-crack-1.0.1-r0.apk
2025-10-21 06:14
2.3K
ruby-coderay-doc-1.1.3-r0.apk
2025-05-26 01:56
2.3K
ruby-coderay-1.1.3-r0.apk
2025-05-26 01:56
89K
ruby-byebug-13.0.0-r0.apk
2026-01-17 23:42
126K
ruby-build-runtime-20250925-r0.apk
2025-09-28 17:45
1.3K
ruby-build-doc-20250925-r0.apk
2025-09-28 17:45
4.8K
ruby-build-20250925-r0.apk
2025-09-28 17:45
93K
ruby-benchmark-ips-doc-2.14.0-r0.apk
2025-05-26 01:54
2.3K
ruby-benchmark-ips-2.14.0-r0.apk
2025-05-26 01:54
14K
ruby-appraisal-doc-2.5.0-r0.apk
2025-05-26 01:52
2.3K
ruby-appraisal-2.5.0-r0.apk
2025-05-26 01:52
11K
ruby-ansi-1.5.0-r0.apk
2025-07-06 20:24
26K
rtw89-src-7_p20230725-r0.apk
2024-10-25 21:10
759K
rtptools-doc-1.22-r2.apk
2024-10-25 21:10
13K
rtptools-1.22-r2.apk
2024-10-25 21:10
37K
rtmidi-dev-6.0.0-r0.apk
2024-10-25 21:10
14K
rtmidi-6.0.0-r0.apk
2024-10-25 21:10
30K
rtl8812au-src-5.6.4.2_git20250530-r0.apk
2025-05-31 18:23
2.6M
rtl8192eu-src-5.11.2.3_git20251220-r0.apk
2026-01-10 18:55
2.6M
rtl88x2bu-src-5.13.1_git20230711-r0.apk
2024-10-25 21:10
4.0M
rtl-power-fftw-doc-20200601-r4.apk
2024-10-25 21:10
8.2K
rtl-power-fftw-20200601-r4.apk
2024-10-25 21:10
60K
rt6-6.0.1-r0.apk
2025-08-12 09:31
12M
rt5-5.0.8-r0.apk
2025-06-15 06:44
17M
rsstail-doc-2.2-r0.apk
2025-02-13 08:17
2.8K
rsstail-2.2-r0.apk
2025-02-13 08:17
8.6K
rss-email-doc-0.5.1-r0.apk
2025-08-10 13:21
6.4K
rss-email-0.5.1-r0.apk
2025-08-10 13:21
2.2M
rpicam-apps-doc-1.11.0-r0.apk
2026-01-02 14:24
2.4K
rpicam-apps-1.11.0-r0.apk
2026-01-02 14:24
450K
rpi-imager-doc-1.9.0-r1.apk
2025-07-24 10:08
3.1K
rpi-imager-1.9.0-r1.apk
2025-07-24 10:08
696K
rpg-cli-1.2.0-r0.apk
2024-10-25 21:10
546K
rosenpass-0.2.2-r1.apk
2025-02-01 19:23
896K
rosdep-pyc-0.19.0-r6.apk
2024-10-25 21:10
119K
rosdep-0.19.0-r6.apk
2024-10-25 21:10
66K
ropgadget-pyc-7.7-r0.apk
2025-12-31 15:55
48K
ropgadget-7.7-r0.apk
2025-12-31 15:55
26K
rollup-doc-4.55.2-r0.apk
2026-01-23 00:42
4.3K
rollup-4.55.2-r0.apk
2026-01-23 00:42
1.0M
roll-doc-2.6.1-r1.apk
2025-10-13 18:00
11K
roll-bash-completion-2.6.1-r1.apk
2025-10-13 18:00
1.8K
roll-2.6.1-r1.apk
2025-10-13 18:00
13K
rofi-pass-doc-2.0.2-r2.apk
2024-10-25 21:10
5.0K
rofi-pass-2.0.2-r2.apk
2024-10-25 21:10
8.8K
rofi-json-menu-0.2.0-r1.apk
2024-10-25 21:10
5.6K
rocm-core-doc-6.4.3-r0.apk
2025-08-18 13:32
2.4K
rocm-core-dev-6.4.3-r0.apk
2025-08-18 13:32
6.6K
rocm-core-6.4.3-r0.apk
2025-08-18 13:32
8.0K
rocm-cmake-doc-6.4.3-r0.apk
2025-08-18 13:32
2.4K
rocm-cmake-6.4.3-r0.apk
2025-08-18 13:32
28K
rmtfs-udev-1.1.1-r2.apk
2026-01-15 05:57
1.7K
rmtfs-systemd-1.1.1-r2.apk
2026-01-15 05:57
1.9K
rmtfs-openrc-1.1.1-r2.apk
2026-01-15 05:57
2.1K
rmtfs-doc-1.1.1-r2.apk
2026-01-15 05:57
2.4K
rmtfs-1.1.1-r2.apk
2026-01-15 05:57
13K
rmlint-shredder-pyc-2.10.2-r2.apk
2024-10-25 21:10
124K
rmlint-shredder-2.10.2-r2.apk
2024-10-25 21:10
96K
rmlint-lang-2.10.2-r2.apk
2024-10-25 21:10
19K
rmlint-doc-2.10.2-r2.apk
2024-10-25 21:10
18K
rmlint-2.10.2-r2.apk
2024-10-25 21:10
131K
rke-doc-1.4.3-r21.apk
2026-01-17 23:42
3.0K
rke-1.4.3-r21.apk
2026-01-17 23:42
19M
rizin-libs-0.8.1-r0.apk
2025-06-30 18:49
5.0M
rizin-doc-0.8.1-r0.apk
2025-06-30 18:49
19K
rizin-dev-0.8.1-r0.apk
2025-06-30 18:49
323K
rizin-cutter-dev-2.4.1-r1.apk
2026-01-08 03:33
103K
rizin-cutter-2.4.1-r1.apk
2026-01-08 03:33
2.5M
rizin-0.8.1-r0.apk
2025-06-30 18:49
2.7M
rivercarro-doc-0.6.0-r0.apk
2025-10-09 19:38
3.1K
rivercarro-0.6.0-r0.apk
2025-10-09 19:38
145K
river-shifttags-doc-0.2.1-r1.apk
2025-05-14 00:00
2.4K
river-shifttags-0.2.1-r1.apk
2025-05-14 00:00
6.2K
river-luatile-0.1.4-r0.apk
2025-05-19 14:47
234K
river-bedload-zsh-completion-0.1.1_git20250823-r0.apk
2025-09-21 09:18
1.9K
river-bedload-doc-0.1.1_git20250823-r0.apk
2025-09-21 09:18
2.3K
river-bedload-0.1.1_git20250823-r0.apk
2025-09-21 09:18
131K
ripasso-cursive-0.7.0-r1.apk
2026-01-17 23:42
3.0M
rio-terminfo-0.2.35-r0.apk
2025-11-17 00:39
3.4K
rio-doc-0.2.35-r0.apk
2025-11-17 00:39
2.3K
rio-0.2.35-r0.apk
2025-11-17 00:39
9.8M
rinetd-openrc-0.73-r0.apk
2024-10-25 21:10
1.7K
rinetd-doc-0.73-r0.apk
2024-10-25 21:10
16K
rinetd-0.73-r0.apk
2024-10-25 21:10
15K
riemann-cli-0.8.0-r2.apk
2024-10-25 21:10
525K
rhasspy-nlu-pyc-0.4.0-r3.apk
2024-10-25 21:10
73K
rhasspy-nlu-0.4.0-r3.apk
2024-10-25 21:10
44K
rgxg-doc-0.1.2-r2.apk
2024-10-25 21:10
12K
rgxg-dev-0.1.2-r2.apk
2024-10-25 21:10
3.5K
rgxg-0.1.2-r2.apk
2024-10-25 21:10
15K
rezolus-openrc-2.11.1-r3.apk
2024-10-25 21:10
2.1K
rezolus-doc-2.11.1-r3.apk
2024-10-25 21:10
3.4K
rezolus-2.11.1-r3.apk
2024-10-25 21:10
857K
restinio-dev-0.6.19-r1.apk
2024-12-14 20:38
268K
restinio-0.6.19-r1.apk
2024-12-14 20:38
1.2K
restic.mk-0.4.0-r0.apk
2024-10-25 21:10
2.9K
restart-services-doc-0.17.0-r0.apk
2024-10-25 21:10
5.9K
restart-services-0.17.0-r0.apk
2024-10-25 21:10
12K
responder-3.1.7.0-r0.apk
2025-10-14 16:54
747K
resources-lang-1.9.1-r0.apk
2025-11-21 10:53
211K
resources-1.9.1-r0.apk
2025-11-21 10:53
2.2M
rescrobbled-openrc-0.8.0-r0.apk
2026-01-26 00:23
1.7K
rescrobbled-doc-0.8.0-r0.apk
2026-01-26 00:23
14K
rescrobbled-0.8.0-r0.apk
2026-01-26 00:23
617K
reprotest-pyc-0.7.32-r0.apk
2025-11-02 15:11
103K
reprotest-0.7.32-r0.apk
2025-11-02 15:11
80K
repowerd-openrc-2023.07-r3.apk
2025-02-17 16:08
1.7K
repowerd-2023.07-r3.apk
2025-02-17 16:08
859K
repo-doc-2.60-r0.apk
2025-12-12 20:49
40K
repo-2.60-r0.apk
2025-12-12 20:49
17K
repgrep-zsh-completion-0.15.0-r0.apk
2024-10-25 21:10
1.6K
repgrep-fish-completion-0.15.0-r0.apk
2024-10-25 21:10
4.1K
repgrep-doc-0.15.0-r0.apk
2024-10-25 21:10
6.5K
repgrep-bash-completion-0.15.0-r0.apk
2024-10-25 21:10
1.6K
repgrep-0.15.0-r0.apk
2024-10-25 21:10
1.2M
remind-caldav-pyc-0.8.0-r4.apk
2024-10-25 21:10
6.1K
remind-caldav-0.8.0-r4.apk
2024-10-25 21:10
18K
remco-openrc-0.12.5-r6.apk
2026-01-17 23:42
1.7K
remco-doc-0.12.5-r6.apk
2026-01-17 23:42
2.3K
remco-0.12.5-r6.apk
2026-01-17 23:42
9.2M
remake-make-1.5-r1.apk
2024-10-25 21:10
1.5K
remake-doc-1.5-r1.apk
2024-10-25 21:10
202K
remake-dev-1.5-r1.apk
2024-10-25 21:10
2.9K
remake-1.5-r1.apk
2024-10-25 21:10
138K
regclient-0.11.1-r1.apk
2026-01-17 23:42
13M
regal-zsh-completion-0.36.1-r2.apk
2026-01-17 23:42
4.0K
regal-fish-completion-0.36.1-r2.apk
2026-01-17 23:42
4.3K
regal-bash-completion-0.36.1-r2.apk
2026-01-17 23:42
6.1K
regal-0.36.1-r2.apk
2026-01-17 23:42
11M
reg-0.16.1-r34.apk
2026-01-17 23:42
4.4M
refine-lang-0.7.0-r0.apk
2026-01-04 15:48
32K
refine-0.7.0-r0.apk
2026-01-04 15:48
36K
redlib-0.36.0-r0.apk
2025-10-27 10:05
2.8M
redhat-fonts-4.1.0-r1.apk
2025-08-10 03:02
809K
recyclarr-doc-7.5.2-r0.apk
2025-12-13 18:36
2.3K
recyclarr-7.5.2-r0.apk
2025-12-13 18:36
3.0M
recoll-doc-1.43.9-r0.apk
2025-12-28 09:01
34K
recoll-dev-1.43.9-r0.apk
2025-12-28 09:01
55K
recoll-1.43.9-r0.apk
2025-12-28 09:01
3.1M
reaver-wps-fork-t6x-1.6.6-r1.apk
2024-10-25 21:10
445K
reap-doc-0.2-r0.apk
2025-09-13 16:13
2.7K
reap-0.2-r0.apk
2025-09-13 16:13
5.3K
readosm-dev-1.1.0-r3.apk
2025-05-14 00:00
20K
readosm-1.1.0-r3.apk
2025-05-14 00:00
15K
reaction-tools-2.2.1-r0.apk
2025-09-23 23:13
6.6K
reaction-openrc-2.2.1-r0.apk
2025-09-23 23:13
1.8K
reaction-2.2.1-r0.apk
2025-09-23 23:13
1.3M
rdrview-doc-0.1.3-r0.apk
2025-02-22 20:23
3.7K
rdrview-0.1.3-r0.apk
2025-02-22 20:23
28K
rclone-browser-1.8.0-r1.apk
2024-10-25 21:10
323K
razercfg-pyc-0.42-r7.apk
2024-10-25 21:10
36K
razercfg-openrc-0.42-r7.apk
2024-10-25 21:10
1.7K
razercfg-gui-0.42-r7.apk
2024-10-25 21:10
19K
razercfg-0.42-r7.apk
2024-10-25 21:10
77K
rauc-service-1.10.1-r0.apk
2024-10-25 21:10
3.7K
rauc-doc-1.10.1-r0.apk
2024-10-25 21:10
4.2K
rauc-1.10.1-r0.apk
2024-10-25 21:10
142K
rattler-build-zsh-completion-0.18.0-r0.apk
2024-10-25 21:10
5.4K
rattler-build-fish-completion-0.18.0-r0.apk
2024-10-25 21:10
4.7K
rattler-build-doc-0.18.0-r0.apk
2024-10-25 21:10
6.7K
rattler-build-bash-completion-0.18.0-r0.apk
2024-10-25 21:10
3.6K
rattler-build-0.18.0-r0.apk
2024-10-25 21:10
5.5M
rathole-0.5.0-r0.apk
2024-10-25 21:10
1.3M
raspberrypi-usbboot-20250227-r0.apk
2025-06-09 10:41
888K
rankwidth-static-0.9-r4.apk
2025-10-28 03:27
4.3K
rankwidth-libs-0.9-r4.apk
2025-10-28 03:27
5.2K
rankwidth-doc-0.9-r4.apk
2025-10-28 03:27
2.9K
rankwidth-dev-0.9-r4.apk
2025-10-28 03:27
2.9K
rankwidth-0.9-r4.apk
2025-10-28 03:27
5.7K
randrctl-pyc-1.10.0-r0.apk
2024-11-20 01:45
31K
randrctl-1.10.0-r0.apk
2024-11-20 01:45
28K
radarr-openrc-6.0.4.10291-r0.apk
2025-11-17 15:41
2.0K
radarr-6.0.4.10291-r0.apk
2025-11-17 15:41
27M
r2ghidra-6.0.8-r0.apk
2026-01-05 19:54
11M
quodlibet-zsh-completion-4.7.1-r0.apk
2025-09-16 20:53
2.7K
quodlibet-pyc-4.7.1-r0.apk
2025-09-16 20:53
1.8M
quodlibet-lang-4.7.1-r0.apk
2025-09-16 20:53
1.4M
quodlibet-doc-4.7.1-r0.apk
2025-09-16 20:53
8.7K
quodlibet-bash-completion-4.7.1-r0.apk
2025-09-16 20:53
4.5K
quodlibet-4.7.1-r0.apk
2025-09-16 20:53
1.0M
quickemu-doc-4.9.8-r0.apk
2026-01-25 12:42
25K
quickemu-4.9.8-r0.apk
2026-01-25 12:42
58K
queercat-1.0.0-r0.apk
2024-10-25 21:10
7.9K
quark-doc-0.5-r0.apk
2025-10-11 18:43
4.4K
quark-0.5-r0.apk
2025-10-11 18:43
1.4M
quakespasm-0.96.3-r0.apk
2024-10-25 21:10
485K
qtpass-doc-1.4.0-r0.apk
2024-10-25 21:10
2.1K
qtpass-1.4.0-r0.apk
2024-10-25 21:10
421K
qtox-1.18.3-r0.apk
2025-11-29 17:46
5.0M
qtmir-dev-0.7.2_git20250407-r5.apk
2025-12-18 15:26
6.6K
qtmir-0.7.2_git20250407-r5.apk
2025-12-18 15:26
507K
qtile-pyc-0.33.0-r0.apk
2025-09-17 09:51
858K
qtile-0.33.0-r0.apk
2025-09-17 09:51
469K
qt6ct-0.11-r2.apk
2025-12-04 19:50
192K
qt6-qtgraphs-dev-6.10.1-r0.apk
2025-12-10 17:28
118K
qt6-qtgraphs-6.10.1-r0.apk
2025-12-10 17:28
1.1M
qt5ct-dev-1.9-r0.apk
2025-11-19 05:35
1.5K
qt5ct-1.9-r0.apk
2025-11-19 05:35
225K
qt-wayland-shell-helpers-dev-0.1.1-r3.apk
2024-10-25 21:10
3.9K
qt-wayland-shell-helpers-0.1.1-r3.apk
2024-10-25 21:10
14K
qt-creator-dbg-18.0.1-r0.apk
2025-12-12 19:36
470M
qt-creator-18.0.1-r0.apk
2025-12-12 19:36
47M
qsynth-doc-1.0.3-r0.apk
2025-11-25 12:01
4.4K
qsynth-1.0.3-r0.apk
2025-11-25 12:01
421K
qstardict-doc-2.0.2-r1.apk
2024-11-24 08:46
11K
qstardict-2.0.2-r1.apk
2024-11-24 08:46
451K
qsstv-9.5.8-r2.apk
2024-10-25 21:10
947K
qspectrumanalyzer-pyc-2.2.0-r5.apk
2024-10-25 21:10
62K
qspectrumanalyzer-2.2.0-r5.apk
2024-10-25 21:10
54K
qqc2-suru-style-0.20230206-r1.apk
2024-10-25 21:10
174K
qperf-doc-0.4.11-r2.apk
2025-05-14 00:00
5.6K
qperf-0.4.11-r2.apk
2025-05-14 00:00
34K
qpdfview-doc-0.5-r2.apk
2025-01-29 20:49
4.2K
qpdfview-0.5-r2.apk
2025-01-29 20:49
1.0M
qownnotes-lang-25.12.5-r0.apk
2025-12-14 21:26
4.9M
qownnotes-25.12.5-r0.apk
2025-12-14 21:26
2.5M
qoiconv-0.0.0_git20230312-r0.apk
2024-10-25 21:10
30K
qoi-dev-0.0.0_git20230312-r0.apk
2024-10-25 21:10
6.8K
qoi-0.0.0_git20230312-r0.apk
2024-10-25 21:10
1.5K
qml-box2d-0_git20180406-r0.apk
2024-10-25 21:10
138K
qmk-cli-udev-1.2.0-r0.apk
2025-11-29 13:10
2.4K
qmk-cli-pyc-1.2.0-r0.apk
2025-11-29 13:10
23K
qmk-cli-1.2.0-r0.apk
2025-11-29 13:10
15K
qgis-server-3.44.5-r0.apk
2025-12-04 19:50
1.8M
qgis-lang-3.44.5-r0.apk
2025-12-04 19:50
33M
qgis-grass-3.44.5-r0.apk
2025-12-04 19:50
1.4M
qgis-doc-3.44.5-r0.apk
2025-12-04 19:50
3.0K
qgis-dev-3.44.5-r0.apk
2025-12-04 19:50
3.6M
qgis-3.44.5-r0.apk
2025-12-04 19:50
49M
qflipper-gui-1.3.3-r1.apk
2024-10-25 21:10
1.1M
qflipper-1.3.3-r1.apk
2024-10-25 21:10
462K
qdjango-dev-0.6.2-r1.apk
2024-10-25 21:10
14K
qdjango-0.6.2-r1.apk
2024-10-25 21:10
100K
qbittorrent-cli-2.2.0-r6.apk
2026-01-17 23:42
5.4M
qadwaitadecorations-0.1.7-r2.apk
2026-01-17 23:42
47K
q6voiced-systemd-0.2.1-r0.apk
2025-12-30 14:28
1.8K
q6voiced-openrc-0.2.1-r0.apk
2025-12-30 14:28
1.8K
q6voiced-doc-0.2.1-r0.apk
2025-12-30 14:28
2.3K
q6voiced-0.2.1-r0.apk
2025-12-30 14:28
4.8K
pyradio-pyc-0.9.3.11-r0.apk
2024-10-25 21:10
810K
pyradio-doc-0.9.3.11-r0.apk
2024-10-25 21:10
113K
pyradio-0.9.3.11-r0.apk
2024-10-25 21:10
871K
pypykatz-pyc-0.6.13-r1.apk
2026-01-02 21:49
724K
pypykatz-0.6.13-r1.apk
2026-01-02 21:49
316K
pypy3-tkinter-7.3.19-r0.apk
2025-11-01 16:11
300K
pypy3-tests-7.3.19-r0.apk
2025-11-01 16:11
13M
pypy3-pyc-7.3.19-r0.apk
2025-11-01 16:11
5.8M
pypy3-dev-7.3.19-r0.apk
2025-11-01 16:11
573K
pypy3-7.3.19-r0.apk
2025-11-01 16:11
15M
pypy-tkinter-7.3.19-r0.apk
2025-11-01 16:11
447K
pypy-dev-7.3.19-r0.apk
2025-11-01 16:11
75K
pypy-bootstrap-7.3.19-r0.apk
2025-11-01 16:11
16M
pypy-7.3.19-r0.apk
2025-11-01 16:11
16M
pyonji-0.1.0-r12.apk
2026-01-17 23:42
2.7M
pympress-pyc-1.8.6-r0.apk
2025-11-10 06:33
182K
pympress-lang-1.8.6-r0.apk
2025-11-10 06:33
59K
pympress-doc-1.8.6-r0.apk
2025-11-10 06:33
75K
pympress-1.8.6-r0.apk
2025-11-10 06:33
180K
pyinfra-pyc-3.3.1-r0.apk
2025-07-16 10:48
361K
pyinfra-3.3.1-r0.apk
2025-07-16 10:48
192K
py3-zope-schema-pyc-7.0.1-r3.apk
2024-10-25 21:10
61K
py3-zope-schema-7.0.1-r3.apk
2024-10-25 21:10
45K
py3-zope-i18nmessageid-pyc-8.2-r0.apk
2025-12-25 13:29
8.4K
py3-zope-i18nmessageid-8.2-r0.apk
2025-12-25 13:29
15K
py3-zope-configuration-pyc-7.0-r0.apk
2025-12-02 01:39
49K
py3-zope-configuration-7.0-r0.apk
2025-12-02 01:39
39K
py3-zipfile2-pyc-0.0.12-r0.apk
2024-10-25 21:10
29K
py3-zipfile2-0.0.12-r0.apk
2024-10-25 21:10
45K
py3-zimscraperlib-pyc-3.4.0-r0.apk
2024-11-06 10:41
68K
py3-zimscraperlib-3.4.0-r0.apk
2024-11-06 10:41
52K
py3-youtube-search-pyc-1.6.6-r5.apk
2025-05-14 20:17
95K
py3-youtube-search-1.6.6-r5.apk
2025-05-14 20:17
78K
py3-yosys-0.57-r0.apk
2025-10-24 23:11
557K
py3-yara-4.5.4-r0.apk
2025-08-13 17:32
18K
py3-yapsy-pyc-1.12.2-r7.apk
2024-10-25 21:10
47K
py3-yapsy-1.12.2-r7.apk
2024-10-25 21:10
32K
py3-xsdata-pyc-26.1-r0.apk
2026-01-20 12:16
392K
py3-xsdata-26.1-r0.apk
2026-01-20 12:16
185K
py3-xdoctest-1.2.0-r0.apk
2024-11-21 14:31
312K
py3-xapp-3.0.1-r0.apk
2026-01-09 17:02
72K
py3-x-wr-timezone-pyc-2.0.1-r0.apk
2025-02-09 16:13
7.1K
py3-x-wr-timezone-2.0.1-r0.apk
2025-02-09 16:13
12K
py3-wtf-peewee-pyc-3.0.6-r0.apk
2024-10-25 21:10
25K
py3-wtf-peewee-3.0.6-r0.apk
2024-10-25 21:10
13K
py3-wstools-pyc-0.4.10-r7.apk
2024-10-25 21:10
111K
py3-wstools-0.4.10-r7.apk
2024-10-25 21:10
53K
py3-wsgiprox-pyc-1.5.2-r1.apk
2024-10-25 21:10
28K
py3-wsgiprox-1.5.2-r1.apk
2024-10-25 21:10
17K
py3-winacl-pyc-0.1.9-r1.apk
2025-05-29 14:00
131K
py3-winacl-0.1.9-r1.apk
2025-05-29 14:00
83K
py3-wikipedia-pyc-1.4.0-r0.apk
2025-09-14 08:33
16K
py3-wikipedia-doc-1.4.0-r0.apk
2025-09-14 08:33
4.1K
py3-wikipedia-1.4.0-r0.apk
2025-09-14 08:33
12K
py3-wifi-pyc-0.3.8-r7.apk
2024-10-25 21:10
14K
py3-wifi-0.3.8-r7.apk
2024-10-25 21:10
13K
py3-wgconfig-pyc-1.1.0-r0.apk
2025-01-29 07:50
12K
py3-wgconfig-1.1.0-r0.apk
2025-01-29 07:50
22K
py3-wg-netns-pyc-2.3.1-r1.apk
2024-10-25 21:10
13K
py3-wg-netns-2.3.1-r1.apk
2024-10-25 21:10
7.6K
py3-webrtcvad-pyc-2.0.10-r1.apk
2024-10-25 21:10
2.8K
py3-webrtcvad-2.0.10-r1.apk
2024-10-25 21:10
24K
py3-wbdata-pyc-1.1.0-r0.apk
2026-01-15 05:57
20K
py3-wbdata-1.1.0-r0.apk
2026-01-15 05:57
18K
py3-ward-pyc-0.67.0_beta0-r2.apk
2024-10-25 21:10
80K
py3-ward-0.67.0_beta0-r2.apk
2024-10-25 21:10
41K
py3-visitor-pyc-0.1.3-r7.apk
2024-10-25 21:10
2.6K
py3-visitor-0.1.3-r7.apk
2024-10-25 21:10
4.6K
py3-virtualenvwrapper-pyc-6.1.1-r1.apk
2025-12-18 12:02
12K
py3-virtualenvwrapper-6.1.1-r1.apk
2025-12-18 12:02
22K
py3-venusian-pyc-3.1.1-r0.apk
2024-12-07 22:14
12K
py3-venusian-3.1.1-r0.apk
2024-12-07 22:14
14K
py3-vdf-pyc-3.4-r2.apk
2025-10-17 00:02
17K
py3-vdf-3.4-r2.apk
2025-10-17 00:02
11K
py3-vatnumber-pyc-1.2-r9.apk
2024-10-25 21:10
8.6K
py3-vatnumber-1.2-r9.apk
2024-10-25 21:10
19K
py3-utc-pyc-0.0.3-r9.apk
2024-10-25 21:10
2.8K
py3-utc-0.0.3-r9.apk
2024-10-25 21:10
3.5K
py3-us-pyc-3.2.0-r0.apk
2024-10-25 21:10
15K
py3-us-3.2.0-r0.apk
2024-10-25 21:10
14K
py3-urlobject-pyc-2.4.3-r9.apk
2024-10-25 21:10
25K
py3-urlobject-2.4.3-r9.apk
2024-10-25 21:10
15K
py3-uptime-pyc-3.0.1-r9.apk
2024-10-25 21:10
8.7K
py3-uptime-3.0.1-r9.apk
2024-10-25 21:10
10K
py3-unoconv-0.9.0-r4.apk
2025-05-14 00:00
26K
py3-unidns-pyc-0.0.4-r0.apk
2025-11-03 07:49
25K
py3-unidns-examples-0.0.4-r0.apk
2025-11-03 07:49
2.6K
py3-unidns-0.0.4-r0.apk
2025-11-03 07:49
14K
py3-unicrypto-pyc-0.0.12-r0.apk
2025-11-03 07:49
92K
py3-unicrypto-0.0.12-r0.apk
2025-11-03 07:49
59K
py3-unicorn-pyc-2.1.4-r0.apk
2025-10-14 16:46
92K
py3-unicorn-hat-2.1.2-r6.apk
2024-11-06 10:41
16K
py3-unicorn-2.1.4-r0.apk
2025-10-14 16:46
51K
py3-unearth-pyc-0.18.0-r0.apk
2025-10-14 16:54
82K
py3-unearth-0.18.0-r0.apk
2025-10-14 16:54
41K
py3-uc-micro-py-pyc-1.0.3-r0.apk
2025-10-27 10:05
4.6K
py3-uc-micro-py-1.0.3-r0.apk
2025-10-27 10:05
6.5K
py3-uacme-desec-pyc-1.2.1-r0.apk
2024-10-25 21:10
6.8K
py3-uacme-desec-doc-1.2.1-r0.apk
2024-10-25 21:10
2.2K
py3-uacme-desec-1.2.1-r0.apk
2024-10-25 21:10
5.7K
py3-u-msgpack-pyc-2.8.0-r2.apk
2024-10-25 21:10
16K
py3-u-msgpack-2.8.0-r2.apk
2024-10-25 21:10
11K
py3-typing_inspect-pyc-0.9.0-r2.apk
2024-10-25 21:10
14K
py3-typing_inspect-0.9.0-r2.apk
2024-10-25 21:10
9.9K
py3-trivup-pyc-0.12.2-r2.apk
2024-10-25 21:10
55K
py3-trivup-0.12.2-r2.apk
2024-10-25 21:10
34K
py3-trimesh-pyc-4.11.1-r0.apk
2026-01-26 16:40
795K
py3-trimesh-4.11.1-r0.apk
2026-01-26 16:40
680K
py3-translationstring-pyc-1.4-r4.apk
2024-10-25 21:10
8.8K
py3-translationstring-1.4-r4.apk
2024-10-25 21:10
9.2K
py3-transitions-pyc-0.9.2-r0.apk
2024-10-25 21:10
129K
py3-transitions-0.9.2-r0.apk
2024-10-25 21:10
98K
py3-trakit-pyc-0.2.5-r0.apk
2025-11-24 01:46
17K
py3-trakit-0.2.5-r0.apk
2025-11-24 01:46
19K
py3-tpm2-pytss-pyc-2.3.0-r1.apk
2024-10-25 21:10
236K
py3-tpm2-pytss-2.3.0-r1.apk
2024-10-25 21:10
264K
py3-tokenizers-pyc-0.21.2-r0.apk
2025-06-30 09:25
29K
py3-tokenizers-0.21.2-r0.apk
2025-06-30 09:25
1.5M
py3-tlslite-ng-pyc-0.7.6-r8.apk
2024-10-25 21:10
275K
py3-tlslite-ng-0.7.6-r8.apk
2024-10-25 21:10
179K
py3-tls_parser-pyc-2.0.2-r0.apk
2025-07-14 09:46
17K
py3-tls_parser-2.0.2-r0.apk
2025-07-14 09:46
10K
py3-timeago-pyc-1.0.16-r0.apk
2024-10-25 21:10
28K
py3-timeago-doc-1.0.16-r0.apk
2024-10-25 21:10
2.9K
py3-timeago-1.0.16-r0.apk
2024-10-25 21:10
24K
py3-tidalapi-pyc-0.8.4-r0.apk
2025-07-12 18:01
91K
py3-tidalapi-0.8.4-r0.apk
2025-07-12 18:01
50K
py3-ticket-auth-pyc-0.1.4-r9.apk
2024-10-25 21:10
6.4K
py3-ticket-auth-0.1.4-r9.apk
2024-10-25 21:10
6.0K
py3-thefuzz-pyc-0.22.1-r1.apk
2024-10-25 21:10
8.9K
py3-thefuzz-0.22.1-r1.apk
2024-10-25 21:10
10K
py3-textual-pyc-5.3.0-r0.apk
2025-10-27 10:05
1.2M
py3-textual-5.3.0-r0.apk
2025-10-27 10:05
612K
py3-testresources-pyc-2.0.1-r6.apk
2024-10-25 21:10
16K
py3-testresources-2.0.1-r6.apk
2024-10-25 21:10
17K
py3-teletype-pyc-1.3.4-r3.apk
2024-10-25 21:10
21K
py3-teletype-1.3.4-r3.apk
2024-10-25 21:10
15K
py3-telemetrix-pyc-1.20-r3.apk
2024-10-25 21:10
31K
py3-telemetrix-1.20-r3.apk
2024-10-25 21:10
21K
py3-telegram-text-pyc-0.2.0-r1.apk
2024-10-25 21:10
13K
py3-telegram-text-0.2.0-r1.apk
2024-10-25 21:10
9.2K
py3-telegram-bot-pyc-22.5-r0.apk
2025-11-19 19:29
802K
py3-telegram-bot-22.5-r0.apk
2025-11-19 19:29
497K
py3-tasklib-pyc-2.5.1-r2.apk
2024-10-25 21:10
52K
py3-tasklib-2.5.1-r2.apk
2024-10-25 21:10
23K
py3-tailer-pyc-0.4.1-r7.apk
2024-10-25 21:10
6.6K
py3-tailer-0.4.1-r7.apk
2024-10-25 21:10
6.9K
py3-synapse-auto-accept-invite-pyc-1.2.0-r1.apk
2025-08-10 03:01
5.6K
py3-synapse-auto-accept-invite-1.2.0-r1.apk
2025-08-10 03:01
9.8K
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk
2024-10-25 21:10
2.1K
py3-swagger-ui-bundle-1.1.0-r1.apk
2024-10-25 21:10
2.5M
py3-svglib-pyc-1.5.1-r0.apk
2025-06-10 21:57
42K
py3-svglib-doc-1.5.1-r0.apk
2025-06-10 21:57
2.1K
py3-svglib-1.5.1-r0.apk
2025-06-10 21:57
30K
py3-sstash-pyc-0.17-r9.apk
2024-10-25 21:10
10K
py3-sstash-0.17-r9.apk
2024-10-25 21:10
7.7K
py3-sssd-pyc-2.11.1-r2.apk
2025-11-20 22:27
49K
py3-sssd-2.11.1-r2.apk
2025-11-20 22:27
62K
py3-sqlmodel-pyc-0.0.22-r1.apk
2024-12-07 00:03
41K
py3-sqlmodel-0.0.22-r1.apk
2024-12-07 00:03
26K
py3-spotipy-pyc-2.24.0-r3.apk
2025-10-14 17:04
49K
py3-spotipy-2.24.0-r3.apk
2025-10-14 17:04
30K
py3-spnego-pyc-0.11.2-r0.apk
2025-01-16 08:52
219K
py3-spnego-0.11.2-r0.apk
2025-01-16 08:52
118K
py3-spinners-pyc-0.0.24-r5.apk
2024-10-25 21:10
6.3K
py3-spinners-0.0.24-r5.apk
2024-10-25 21:10
6.1K
py3-spin-pyc-0.8-r0.apk
2024-10-25 21:10
24K
py3-spin-0.8-r0.apk
2024-10-25 21:10
19K
py3-spidev-3.6-r1.apk
2024-10-25 21:10
14K
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk
2024-10-25 21:10
5.7K
py3-sphinxcontrib-textstyle-0.2.3-r8.apk
2024-10-25 21:10
6.1K
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk
2024-10-25 21:10
4.9K
py3-sphinxcontrib-sqltable-2.0.0-r8.apk
2024-10-25 21:10
7.3K
py3-sphinxcontrib-spelling-pyc-8.0.1-r0.apk
2025-07-13 23:34
19K
py3-sphinxcontrib-spelling-8.0.1-r0.apk
2025-07-13 23:34
13K
py3-sphinxcontrib-slide-pyc-1.0.0-r4.apk
2025-05-14 00:00
5.6K
py3-sphinxcontrib-slide-1.0.0-r4.apk
2025-05-14 00:00
4.9K
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 21:10
9.3K
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk
2024-10-25 21:10
7.6K
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk
2024-10-25 21:10
21K
py3-sphinxcontrib-restbuilder-0.3-r6.apk
2024-10-25 21:10
11K
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk
2024-10-25 21:10
24K
py3-sphinxcontrib-programoutput-0.17-r5.apk
2024-10-25 21:10
16K
py3-sphinxcontrib-plantuml-pyc-0.30-r0.apk
2025-05-08 14:22
19K
py3-sphinxcontrib-plantuml-0.30-r0.apk
2025-05-08 14:22
12K
py3-sphinxcontrib-phpdomain-pyc-0.13.0-r0.apk
2025-07-12 18:01
17K
py3-sphinxcontrib-phpdomain-0.13.0-r0.apk
2025-07-12 18:01
11K
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk
2024-10-25 21:10
11K
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk
2024-10-25 21:10
8.7K
py3-sphinxcontrib-mermaid-pyc-1.0.0-r0.apk
2025-05-08 14:22
16K
py3-sphinxcontrib-mermaid-1.0.0-r0.apk
2025-05-08 14:22
10K
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk
2024-10-25 21:10
3.1K
py3-sphinxcontrib-manpage-0.6-r8.apk
2024-10-25 21:10
4.1K
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk
2024-10-25 21:10
11K
py3-sphinxcontrib-lassodomain-0.4-r8.apk
2024-10-25 21:10
7.9K
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk
2024-10-25 21:10
12K
py3-sphinxcontrib-issuetracker-0.11-r7.apk
2024-10-25 21:10
11K
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk
2024-10-25 21:10
15K
py3-sphinxcontrib-inheritance-0.9.0-r9.apk
2024-10-25 21:10
11K
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk
2024-10-25 21:10
44K
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk
2024-10-25 21:10
21K
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk
2024-10-25 21:10
34K
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk
2024-10-25 21:10
4.3K
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk
2024-10-25 21:10
18K
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk
2024-10-25 21:10
15K
py3-sphinxcontrib-htsql-0.1.5-r8.apk
2024-10-25 21:10
11K
py3-sphinxcontrib-gravatar-pyc-0.1.2-r8.apk
2024-10-25 21:10
7.6K
py3-sphinxcontrib-gravatar-0.1.2-r8.apk
2024-10-25 21:10
7.9K
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk
2024-10-25 21:10
3.3K
py3-sphinxcontrib-gist-0.1.0-r9.apk
2024-10-25 21:10
3.9K
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk
2024-10-25 21:10
3.5K
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk
2024-10-25 21:10
9.1K
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk
2024-10-25 21:10
34K
py3-sphinxcontrib-cartouche-1.1.2-r7.apk
2024-10-25 21:10
18K
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk
2024-10-25 21:10
4.2K
py3-sphinxcontrib-cacoo-2.0.0-r7.apk
2024-10-25 21:10
5.7K
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk
2024-10-25 21:10
9.2K
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk
2024-10-25 21:10
7.5K
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk
2024-10-25 21:10
4.1K
py3-sphinxcontrib-bitbucket-1.0-r8.apk
2024-10-25 21:10
5.7K
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk
2024-10-25 21:10
12K
py3-sphinxcontrib-adadomain-0.2-r9.apk
2024-10-25 21:10
9.0K
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk
2024-10-25 21:10
9.3K
py3-sphinxcontrib-actdiag-3.0.0-r4.apk
2024-10-25 21:10
7.6K
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk
2024-10-25 21:10
2.2K
py3-sphinx-theme-readable-1.3.0-r9.apk
2024-10-25 21:10
8.8K
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk
2024-10-25 21:10
5.5K
py3-sphinx-theme-guzzle-0.7.11-r7.apk
2024-10-25 21:10
2.4M
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk
2024-10-25 21:10
2.5K
py3-sphinx-theme-epfl-1.1.1-r9.apk
2024-10-25 21:10
30K
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk
2024-10-25 21:10
43K
py3-sphinx-theme-cloud-1.10.0-r2.apk
2024-10-25 21:10
81K
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk
2024-10-25 21:10
1.8K
py3-sphinx-theme-bw-0.1.8-r7.apk
2024-10-25 21:10
65K
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk
2024-10-25 21:10
2.3K
py3-sphinx-theme-bootstrap-0.8.1-r4.apk
2024-10-25 21:10
1.2M
py3-sphinx-theme-better-pyc-0.1.5-r7.apk
2024-10-25 21:10
2.0K
py3-sphinx-theme-better-0.1.5-r7.apk
2024-10-25 21:10
11K
py3-sphinx-autoapi-pyc-3.6.1-r0.apk
2025-10-11 12:59
59K
py3-sphinx-autoapi-3.6.1-r0.apk
2025-10-11 12:59
31K
py3-sphinx-argparse-pyc-0.5.2-r0.apk
2024-10-25 21:10
22K
py3-sphinx-argparse-0.5.2-r0.apk
2024-10-25 21:10
14K
py3-spake2-pyc-0.9-r0.apk
2024-10-25 21:10
44K
py3-spake2-0.9-r0.apk
2024-10-25 21:10
30K
py3-sortedcollections-pyc-2.1.0-r5.apk
2024-10-25 21:10
14K
py3-sortedcollections-2.1.0-r5.apk
2024-10-25 21:10
11K
py3-socketio-pyc-5.13.0-r0.apk
2025-09-06 18:20
127K
py3-socketio-doc-5.13.0-r0.apk
2025-09-06 18:20
36K
py3-socketio-5.13.0-r0.apk
2025-09-06 18:20
62K
py3-soapy_power-pyc-1.6.1-r5.apk
2024-10-25 21:10
27K
py3-soapy_power-1.6.1-r5.apk
2024-10-25 21:10
17K
py3-soappy-pyc-0.52.30-r0.apk
2024-12-04 12:57
95K
py3-soappy-0.52.30-r0.apk
2024-12-04 12:57
47K
py3-snapshottest-pyc-0.6.0-r5.apk
2024-10-25 21:10
26K
py3-snapshottest-0.6.0-r5.apk
2024-10-25 21:10
15K
py3-slixmpp-pyc-1.8.5-r2.apk
2024-10-25 21:10
729K
py3-slixmpp-doc-1.8.5-r2.apk
2024-10-25 21:10
5.8K
py3-slixmpp-1.8.5-r2.apk
2024-10-25 21:10
383K
py3-slidge-style-parser-pyc-0.1.9-r0.apk
2025-04-13 22:28
2.0K
py3-slidge-style-parser-0.1.9-r0.apk
2025-04-13 22:28
201K
py3-simplespectral-pyc-1.0.0-r5.apk
2024-10-25 21:10
8.1K
py3-simplespectral-1.0.0-r5.apk
2024-10-25 21:10
7.5K
py3-simplesoapy-pyc-1.5.1-r7.apk
2024-10-25 21:10
12K
py3-simplesoapy-1.5.1-r7.apk
2024-10-25 21:10
7.9K
py3-simplesat-pyc-0.8.2-r0.apk
2024-10-25 21:10
157K
py3-simplesat-0.8.2-r0.apk
2024-10-25 21:10
214K
py3-simplematch-pyc-1.4-r1.apk
2024-10-25 21:10
5.8K
py3-simplematch-1.4-r1.apk
2024-10-25 21:10
8.0K
py3-simpleeval-pyc-1.0.3-r0.apk
2025-06-24 21:38
16K
py3-simpleeval-1.0.3-r0.apk
2025-06-24 21:38
16K
py3-simple-websocket-pyc-1.1.0-r0.apk
2025-09-06 18:20
23K
py3-simple-websocket-doc-1.1.0-r0.apk
2025-09-06 18:20
2.3K
py3-simple-websocket-1.1.0-r0.apk
2025-09-06 18:20
11K
py3-simber-pyc-0.2.6-r5.apk
2025-05-14 20:17
16K
py3-simber-0.2.6-r5.apk
2025-05-14 20:17
12K
py3-shodan-pyc-1.31.0-r1.apk
2024-10-25 21:10
79K
py3-shodan-doc-1.31.0-r1.apk
2024-10-25 21:10
7.2K
py3-shodan-1.31.0-r1.apk
2024-10-25 21:10
44K
py3-sh-pyc-2.1.0-r0.apk
2024-11-01 16:13
55K
py3-sh-2.1.0-r0.apk
2024-11-01 16:13
38K
py3-setuptools-lint-pyc-0.6.0-r9.apk
2024-10-25 21:10
6.0K
py3-setuptools-lint-0.6.0-r9.apk
2024-10-25 21:10
5.4K
py3-seqdiag-pyc-3.0.0-r5.apk
2024-10-25 21:10
42K
py3-seqdiag-3.0.0-r5.apk
2024-10-25 21:10
2.5M
py3-senf-pyc-1.5.0-r0.apk
2025-06-27 04:58
32K
py3-senf-1.5.0-r0.apk
2025-06-27 04:58
20K
py3-scs-pyc-3.2.3-r4.apk
2024-10-25 21:10
4.9K
py3-scs-3.2.3-r4.apk
2024-10-25 21:10
98K
py3-scrapy-pyc-2.13.4-r0.apk
2026-01-05 23:29
521K
py3-scrapy-2.13.4-r0.apk
2026-01-05 23:29
252K
py3-scour-pyc-0.38.2-r1.apk
2024-10-25 21:10
74K
py3-scour-0.38.2-r1.apk
2024-10-25 21:10
56K
py3-schema-pyc-0.7.7-r0.apk
2025-07-07 18:10
21K
py3-schema-0.7.7-r0.apk
2025-07-07 18:10
19K
py3-saltext-s3fs-pyc-1.0.0-r0.apk
2026-01-24 14:54
16K
py3-saltext-s3fs-1.0.0-r0.apk
2026-01-24 14:54
16K
py3-rst2pdf-pyc-0.102-r0.apk
2025-06-10 21:57
206K
py3-rst2pdf-0.102-r0.apk
2025-06-10 21:57
154K
py3-rst2ansi-pyc-0.1.5-r0.apk
2024-10-25 21:10
24K
py3-rst2ansi-doc-0.1.5-r0.apk
2024-10-25 21:10
2.2K
py3-rst2ansi-0.1.5-r0.apk
2024-10-25 21:10
12K
py3-rst.linker-pyc-2.6.0-r0.apk
2024-10-25 21:10
6.6K
py3-rst.linker-2.6.0-r0.apk
2024-10-25 21:10
6.1K
py3-rst-pyc-0.1-r9.apk
2024-10-25 21:10
6.1K
py3-rst-0.1-r9.apk
2024-10-25 21:10
5.6K
py3-rpio-pyc-0.10.1-r8.apk
2024-10-25 21:10
16K
py3-rpio-0.10.1-r8.apk
2024-10-25 21:10
39K
py3-rospkg-pyc-1.2.9-r5.apk
2024-10-25 21:10
54K
py3-rospkg-1.2.9-r5.apk
2024-10-25 21:10
29K
py3-rosdistro-pyc-0.9.0-r3.apk
2024-10-25 21:10
91K
py3-rosdistro-0.9.0-r3.apk
2024-10-25 21:10
47K
py3-rofi-pyc-1.0.1-r1.apk
2025-08-10 03:01
12K
py3-rofi-1.0.1-r1.apk
2025-08-10 03:01
12K
py3-rns-pyc-1.0.0-r0.apk
2025-09-23 23:13
705K
py3-rns-1.0.0-r0.apk
2025-09-23 23:13
344K
py3-riotctrl-pyc-0.5.0-r4.apk
2024-10-25 21:10
11K
py3-riotctrl-0.5.0-r4.apk
2024-10-25 21:10
13K
py3-rich-click-pyc-1.7.3-r1.apk
2024-10-25 21:10
40K
py3-rich-click-1.7.3-r1.apk
2024-10-25 21:10
31K
py3-rfc-bibtex-pyc-0.3.2-r7.apk
2024-10-25 21:10
12K
py3-rfc-bibtex-0.3.2-r7.apk
2024-10-25 21:10
13K
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk
2024-10-25 21:10
6.6K
py3-requests-wsgi-adapter-0.4.1-r1.apk
2024-10-25 21:10
5.5K
py3-requests-kerberos-pyc-0.15.0-r0.apk
2024-12-01 18:24
11K
py3-requests-kerberos-0.15.0-r0.apk
2024-12-01 18:24
12K
py3-requests-cache-pyc-1.2.1-r2.apk
2026-01-18 10:00
94K
py3-requests-cache-1.2.1-r2.apk
2026-01-18 10:00
50K
py3-remind-pyc-0.19.2-r0.apk
2025-05-03 22:03
23K
py3-remind-0.19.2-r0.apk
2025-05-03 22:03
24K
py3-redmine-pyc-2.5.0-r0.apk
2024-10-25 21:10
54K
py3-redmine-2.5.0-r0.apk
2024-10-25 21:10
37K
py3-recurring-ical-events-pyc-3.8.0-r0.apk
2025-06-15 06:00
50K
py3-recurring-ical-events-3.8.0-r0.apk
2025-06-15 06:00
39K
py3-recommonmark-pyc-0.7.1-r4.apk
2024-10-25 21:10
18K
py3-recommonmark-0.7.1-r4.apk
2024-10-25 21:10
12K
py3-radon-pyc-6.0.1-r2.apk
2024-10-25 21:10
50K
py3-radon-doc-6.0.1-r2.apk
2024-10-25 21:10
5.1K
py3-radon-6.0.1-r2.apk
2024-10-25 21:10
32K
py3-rabbit-pyc-1.1.0-r8.apk
2024-10-25 21:10
15K
py3-rabbit-1.1.0-r8.apk
2024-10-25 21:10
11K
py3-r2pipe-pyc-5.9.0-r0.apk
2025-12-29 22:20
21K
py3-r2pipe-doc-5.9.0-r0.apk
2025-12-29 22:20
22K
py3-r2pipe-5.9.0-r0.apk
2025-12-29 22:20
12K
py3-queuelib-pyc-1.8.0-r0.apk
2025-09-01 20:13
24K
py3-queuelib-1.8.0-r0.apk
2025-09-01 20:13
12K
py3-quebra-frases-pyc-0.3.7-r1.apk
2024-10-25 21:10
7.8K
py3-quebra-frases-0.3.7-r1.apk
2024-10-25 21:10
8.8K
py3-qt.py-pyc-1.3.10-r1.apk
2024-10-25 21:10
25K
py3-qt.py-1.3.10-r1.apk
2024-10-25 21:10
33K
py3-qpageview-pyc-0.6.2-r1.apk
2024-10-25 21:10
180K
py3-qpageview-doc-0.6.2-r1.apk
2024-10-25 21:10
56K
py3-qpageview-0.6.2-r1.apk
2024-10-25 21:10
98K
py3-qgis-3.44.5-r0.apk
2025-12-04 19:50
21M
py3-qdldl-0.1.5-r4.apk
2024-10-25 21:10
90K
py3-qasync-0.19.0-r2.apk
2024-10-25 21:10
37K
py3-pyzor-pyc-1.1.2-r0.apk
2025-08-14 08:26
54K
py3-pyzor-1.1.2-r0.apk
2025-08-14 08:26
40K
py3-pyvcd-pyc-0.4.1-r0.apk
2024-11-11 22:46
40K
py3-pyvcd-0.4.1-r0.apk
2024-11-11 22:46
23K
py3-python-stdnum-pyc-1.20-r0.apk
2024-10-25 21:10
293K
py3-python-stdnum-1.20-r0.apk
2024-10-25 21:10
806K
py3-python-logstash-pyc-0.4.8-r4.apk
2024-10-25 21:10
8.4K
py3-python-logstash-doc-0.4.8-r4.apk
2024-10-25 21:10
2.3K
py3-python-logstash-0.4.8-r4.apk
2024-10-25 21:10
8.6K
py3-python-jwt-pyc-4.1.0-r2.apk
2025-05-15 23:22
6.5K
py3-python-jwt-4.1.0-r2.apk
2025-05-15 23:22
8.1K
py3-python-iptables-pyc-1.2.0-r1.apk
2025-12-12 16:43
68K
py3-python-iptables-1.2.0-r1.apk
2025-12-12 16:43
38K
py3-python-archive-pyc-0.2-r7.apk
2024-10-25 21:10
9.4K
py3-python-archive-0.2-r7.apk
2024-10-25 21:10
7.4K
py3-pytest-textual-snapshot-pyc-1.1.0-r0.apk
2025-08-08 15:48
11K
py3-pytest-textual-snapshot-1.1.0-r0.apk
2025-08-08 15:48
9.2K
py3-pytest-subprocess-pyc-1.5.2-r0.apk
2024-10-25 21:10
25K
py3-pytest-subprocess-1.5.2-r0.apk
2024-10-25 21:10
20K
py3-pytest-regtest-pyc-2.3.5-r0.apk
2025-10-12 15:32
30K
py3-pytest-regtest-2.3.5-r0.apk
2025-10-12 15:32
16K
py3-pytest-metadata-pyc-3.1.1-r0.apk
2024-10-25 21:10
7.9K
py3-pytest-metadata-3.1.1-r0.apk
2024-10-25 21:10
10K
py3-pytest-html-pyc-4.1.1-r1.apk
2024-10-25 21:10
22K
py3-pytest-html-4.1.1-r1.apk
2024-10-25 21:10
22K
py3-pytest-home-pyc-0.6.0-r0.apk
2024-10-25 21:10
2.8K
py3-pytest-home-0.6.0-r0.apk
2024-10-25 21:10
4.5K
py3-pytest-expect-pyc-1.1.0-r10.apk
2024-10-25 21:10
7.0K
py3-pytest-expect-1.1.0-r10.apk
2024-10-25 21:10
5.9K
py3-pytest-datadir-pyc-1.8.0-r0.apk
2025-08-05 14:48
5.4K
py3-pytest-datadir-1.8.0-r0.apk
2025-08-05 14:48
7.1K
py3-pyte-pyc-0.8.2-r3.apk
2025-05-14 00:00
39K
py3-pyte-0.8.2-r3.apk
2025-05-14 00:00
30K
py3-pytap2-pyc-2.3.0-r0.apk
2024-10-25 21:10
6.0K
py3-pytap2-doc-2.3.0-r0.apk
2024-10-25 21:10
2.8K
py3-pytap2-2.3.0-r0.apk
2024-10-25 21:10
7.0K
py3-pytaglib-pyc-3.0.0-r0.apk
2025-01-26 20:30
2.9K
py3-pytaglib-3.0.0-r0.apk
2025-01-26 20:30
40K
py3-pysubs2-pyc-1.8.0-r0.apk
2024-12-25 23:09
68K
py3-pysubs2-1.8.0-r0.apk
2024-12-25 23:09
36K
py3-pystache-pyc-0.6.5-r1.apk
2024-10-25 21:10
97K
py3-pystache-0.6.5-r1.apk
2024-10-25 21:10
68K
py3-pysrt-pyc-1.1.2-r5.apk
2025-05-14 20:17
23K
py3-pysrt-1.1.2-r5.apk
2025-05-14 20:17
25K
py3-pyspinel-pyc-1.0.3-r1.apk
2024-10-25 21:10
63K
py3-pyspinel-1.0.3-r1.apk
2024-10-25 21:10
56K
py3-pysonic-pyc-1.0.3-r0.apk
2025-04-17 20:34
32K
py3-pysonic-1.0.3-r0.apk
2025-04-17 20:34
35K
py3-pysimplesoap-pyc-1.16.2-r7.apk
2024-10-25 21:10
78K
py3-pysimplesoap-1.16.2-r7.apk
2024-10-25 21:10
44K
py3-pyscreeze-pyc-0.1.29-r3.apk
2024-10-25 21:10
14K
py3-pyscreeze-0.1.29-r3.apk
2024-10-25 21:10
14K
py3-pyroma-pyc-4.2-r0.apk
2024-10-25 21:10
26K
py3-pyroma-4.2-r0.apk
2024-10-25 21:10
22K
py3-pyqrcode-pyc-1.2.1-r0.apk
2024-10-25 21:10
47K
py3-pyqrcode-doc-1.2.1-r0.apk
2024-10-25 21:10
4.3K
py3-pyqrcode-1.2.1-r0.apk
2024-10-25 21:10
37K
py3-pypubsub-pyc-4.0.3-r0.apk
2024-10-25 21:10
90K
py3-pypubsub-doc-4.0.3-r0.apk
2024-10-25 21:10
2.1K
py3-pypubsub-4.0.3-r0.apk
2024-10-25 21:10
52K
py3-pyparted-pyc-3.13.0-r1.apk
2024-10-25 21:10
42K
py3-pyparted-3.13.0-r1.apk
2024-10-25 21:10
78K
py3-pypandoc-pyc-1.15-r0.apk
2025-02-12 23:35
23K
py3-pypandoc-1.15-r0.apk
2025-02-12 23:35
21K
py3-pynest2d-5.2.2-r5.apk
2025-02-06 05:44
240K
py3-pymupdf-pyc-1.26.4-r2.apk
2025-12-19 21:29
500K
py3-pymupdf-1.26.4-r2.apk
2025-12-19 21:29
320K
py3-pymsteams-pyc-0.2.5-r0.apk
2025-02-17 16:08
6.5K
py3-pymsteams-0.2.5-r0.apk
2025-02-17 16:08
12K
py3-pymsgbox-pyc-1.0.9-r5.apk
2024-10-25 21:10
9.8K
py3-pymsgbox-1.0.9-r5.apk
2024-10-25 21:10
9.2K
py3-pymeta3-pyc-0.5.1-r6.apk
2024-10-25 21:10
32K
py3-pymeta3-0.5.1-r6.apk
2024-10-25 21:10
17K
py3-pymata4-pyc-1.15-r4.apk
2024-10-25 21:10
31K
py3-pymata4-1.15-r4.apk
2024-10-25 21:10
23K
py3-pymata-pyc-2.20-r4.apk
2024-10-25 21:10
29K
py3-pymata-2.20-r4.apk
2024-10-25 21:10
23K
py3-pymaging-pyc-0.0.20130908-r10.apk
2024-10-25 21:10
32K
py3-pymaging-png-pyc-0.0.20130727-r10.apk
2024-10-25 21:10
52K
py3-pymaging-png-0.0.20130727-r10.apk
2024-10-25 21:10
35K
py3-pymaging-0.0.20130908-r10.apk
2024-10-25 21:10
18K
py3-pylru-pyc-1.2.1-r1.apk
2024-10-25 21:10
8.9K
py3-pylru-1.2.1-r1.apk
2024-10-25 21:10
17K
py3-pyisbn-pyc-1.3.1-r3.apk
2024-10-25 21:10
9.4K
py3-pyisbn-1.3.1-r3.apk
2024-10-25 21:10
20K
py3-pyinstaller-pyc-6.6.0-r0.apk
2024-10-25 21:10
502K
py3-pyinstaller-6.6.0-r0.apk
2024-10-25 21:10
1.9M
py3-pygtail-pyc-0.14.0-r3.apk
2024-10-25 21:10
10K
py3-pygtail-0.14.0-r3.apk
2024-10-25 21:10
15K
py3-pygpgme-pyc-0.3.1-r10.apk
2026-01-17 23:42
5.1K
py3-pygpgme-0.3.1-r10.apk
2026-01-17 23:42
37K
py3-pyglm-2.7.3-r0.apk
2024-11-06 10:41
1.2M
py3-pyglet-pyc-2.1.5-r1.apk
2025-08-27 16:47
1.6M
py3-pyglet-2.1.5-r1.apk
2025-08-27 16:47
890K
py3-pygfm-pyc-2.0.0-r2.apk
2024-10-25 21:10
13K
py3-pygfm-2.0.0-r2.apk
2024-10-25 21:10
13K
py3-pygelbooru-pyc-1.0.0-r0.apk
2025-07-30 06:28
12K
py3-pygelbooru-1.0.0-r0.apk
2025-07-30 06:28
20K
py3-pydes-pyc-2.0.1-r5.apk
2024-10-25 21:10
13K
py3-pydes-doc-2.0.1-r5.apk
2024-10-25 21:10
3.6K
py3-pydes-2.0.1-r5.apk
2024-10-25 21:10
11K
py3-pycosat-0.6.6-r2.apk
2024-10-25 21:10
45K
py3-pycolorterm-pyc-0.2.1-r6.apk
2024-10-25 21:10
3.7K
py3-pycolorterm-0.2.1-r6.apk
2024-10-25 21:10
5.5K
py3-pycaption-2.2.15-r0.apk
2024-10-25 21:10
360K
py3-pybars3-pyc-0.9.7-r6.apk
2024-10-25 21:10
17K
py3-pybars3-0.9.7-r6.apk
2024-10-25 21:10
15K
py3-pyautogui-pyc-0.9.53-r5.apk
2024-10-25 21:10
45K
py3-pyautogui-0.9.53-r5.apk
2024-10-25 21:10
35K
py3-pyatem-pyc-0.5.0-r4.apk
2024-10-25 21:10
92K
py3-pyatem-0.5.0-r4.apk
2024-10-25 21:10
53K
py3-py-radix-pyc-0.10.0-r11.apk
2025-12-30 22:01
11K
py3-py-radix-0.10.0-r11.apk
2025-12-30 22:01
22K
py3-pure_protobuf-pyc-3.1.2-r0.apk
2024-10-25 21:10
38K
py3-pure_protobuf-3.1.2-r0.apk
2024-10-25 21:10
21K
py3-proxmoxer-pyc-2.2.0-r0.apk
2024-12-16 12:36
28K
py3-proxmoxer-2.2.0-r0.apk
2024-12-16 12:36
17K
py3-protego-pyc-0.3.1-r0.apk
2024-11-30 21:18
12K
py3-protego-0.3.1-r0.apk
2024-11-30 21:18
9.3K
py3-proglog-pyc-0.1.10-r2.apk
2024-10-25 21:10
9.9K
py3-proglog-0.1.10-r2.apk
2024-10-25 21:10
7.4K
py3-print-color-pyc-0.4.6-r0.apk
2024-10-25 21:10
5.0K
py3-print-color-doc-0.4.6-r0.apk
2024-10-25 21:10
2.6K
py3-print-color-0.4.6-r0.apk
2024-10-25 21:10
8.9K
py3-prefixed-pyc-0.9.0-r0.apk
2025-08-28 18:59
8.6K
py3-prefixed-0.9.0-r0.apk
2025-08-28 18:59
14K
py3-prctl-pyc-1.8.1-r0.apk
2025-10-20 16:00
7.2K
py3-prctl-1.8.1-r0.apk
2025-10-20 16:00
13K
py3-pprintpp-pyc-0.4.0-r1.apk
2024-10-25 21:10
16K
py3-pprintpp-0.4.0-r1.apk
2024-10-25 21:10
14K
py3-ppk2-api-pyc-0.9.2-r0.apk
2024-11-30 22:06
17K
py3-ppk2-api-0.9.2-r0.apk
2024-11-30 22:06
16K
py3-poppler-qt5-21.3.0-r2.apk
2025-01-29 20:49
119K
py3-poetry-dynamic-versioning-pyc-1.9.1-r0.apk
2025-07-26 02:11
26K
py3-poetry-dynamic-versioning-1.9.1-r0.apk
2025-07-26 02:11
21K
py3-pockethernet-pyc-0.7.0-r4.apk
2024-10-25 21:10
25K
py3-pockethernet-0.7.0-r4.apk
2024-10-25 21:10
15K
py3-pltable-pyc-1.1.0-r1.apk
2024-11-13 07:46
33K
py3-pltable-1.1.0-r1.apk
2024-11-13 07:46
19K
py3-plotly-5.24.1-r2.apk
2025-04-19 00:10
20M
py3-playsound-pyc-1.3.0-r1.apk
2024-10-25 21:10
8.5K
py3-playsound-1.3.0-r1.apk
2024-10-25 21:10
6.9K
py3-piper-tts-2023.11.14.2-r14.apk
2025-07-12 18:01
41K
py3-piper-phonemize-pyc-2023.11.14.4-r9.apk
2025-07-12 18:01
3.3K
py3-piper-phonemize-2023.11.14.4-r9.apk
2025-07-12 18:01
141K
py3-pip-system-certs-pyc-4.0-r1.apk
2024-10-25 21:10
4.7K
py3-pip-system-certs-4.0-r1.apk
2024-10-25 21:10
7.0K
py3-pillow_heif-pyc-0.18.0-r0.apk
2024-10-25 21:10
36K
py3-pillow_heif-0.18.0-r0.apk
2024-10-25 21:10
43K
py3-pigpio-79-r4.apk
2024-10-25 21:10
93K
py3-piccata-pyc-2.0.3-r1.apk
2024-10-25 21:10
34K
py3-piccata-2.0.3-r1.apk
2024-10-25 21:10
20K
py3-phx-class-registry-pyc-5.0.0-r0.apk
2024-10-25 21:10
17K
py3-phx-class-registry-doc-5.0.0-r0.apk
2024-10-25 21:10
2.2K
py3-phx-class-registry-5.0.0-r0.apk
2024-10-25 21:10
13K
py3-phpserialize-pyc-1.3-r8.apk
2024-10-25 21:10
11K
py3-phpserialize-1.3-r8.apk
2024-10-25 21:10
8.9K
py3-pep8-naming-pyc-0.14.1-r0.apk
2024-10-25 21:10
13K
py3-pep8-naming-0.14.1-r0.apk
2024-10-25 21:10
9.8K
py3-pelican-pyc-4.9.1-r2.apk
2024-10-25 21:10
147K
py3-pelican-4.9.1-r2.apk
2024-10-25 21:10
234K
py3-pdoc-pyc-15.0.4-r0.apk
2025-10-12 23:19
169K
py3-pdoc-15.0.4-r0.apk
2025-10-12 23:19
133K
py3-pdal-pyc-3.5.3-r0.apk
2026-01-19 17:04
14K
py3-pdal-3.5.3-r0.apk
2026-01-19 17:04
179K
py3-pbkdf2-pyc-1.3-r7.apk
2024-10-25 21:10
7.1K
py3-pbkdf2-1.3-r7.apk
2024-10-25 21:10
6.3K
py3-pathvalidate-pyc-3.3.1-r0.apk
2025-07-12 17:21
34K
py3-pathvalidate-3.3.1-r0.apk
2025-07-12 17:21
19K
py3-pam-pyc-2.0.2-r2.apk
2024-10-25 21:10
13K
py3-pam-2.0.2-r2.apk
2024-10-25 21:10
11K
py3-padacioso-pyc-0.2.1-r0.apk
2024-10-25 21:10
12K
py3-padacioso-0.2.1-r0.apk
2024-10-25 21:10
11K
py3-pacparser-pyc-1.4.5-r1.apk
2024-10-25 21:10
4.0K
py3-pacparser-1.4.5-r1.apk
2024-10-25 21:10
381K
py3-owslib-pyc-0.35.0-r0.apk
2025-11-02 20:15
425K
py3-owslib-0.35.0-r0.apk
2025-11-02 20:15
195K
py3-ovos-ww-plugin-vosk-pyc-0.1.7-r0.apk
2025-07-15 21:30
13K
py3-ovos-ww-plugin-vosk-0.1.7-r0.apk
2025-07-15 21:30
11K
py3-ovos-workshop-pyc-7.0.6-r0.apk
2025-07-15 21:30
165K
py3-ovos-workshop-7.0.6-r0.apk
2025-07-15 21:30
92K
py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk
2024-10-25 21:10
4.4K
py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk
2024-10-25 21:10
4.5K
py3-ovos-utils-pyc-0.8.1-r0.apk
2025-07-15 22:03
130K
py3-ovos-utils-0.8.1-r0.apk
2025-07-15 22:03
73K
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk
2024-10-25 21:10
5.4K
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk
2024-10-25 21:10
9.5K
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk
2024-10-25 21:10
11K
py3-ovos-tts-plugin-piper-0.0.1-r0.apk
2024-10-25 21:10
12K
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk
2024-10-25 21:10
4.1K
py3-ovos-translate-server-plugin-0.0.0-r0.apk
2024-10-25 21:10
8.3K
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk
2024-10-25 21:10
6.8K
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk
2024-10-25 21:10
10K
py3-ovos-plugin-manager-pyc-1.0.3-r0.apk
2025-07-15 21:34
167K
py3-ovos-plugin-manager-1.0.3-r0.apk
2025-07-15 21:34
86K
py3-ovos-phal-plugin-system-pyc-1.3.3-r0.apk
2025-07-15 18:49
10K
py3-ovos-phal-plugin-system-1.3.3-r0.apk
2025-07-15 18:49
11K
py3-ovos-phal-plugin-oauth-pyc-0.1.3-r0.apk
2024-11-21 14:31
9.7K
py3-ovos-phal-plugin-oauth-0.1.3-r0.apk
2024-11-21 14:31
12K
py3-ovos-phal-plugin-network-manager-pyc-1.3.2-r0.apk
2024-11-21 14:31
11K
py3-ovos-phal-plugin-network-manager-1.3.2-r0.apk
2024-11-21 14:31
95K
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk
2024-10-25 21:10
4.4K
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk
2024-10-25 21:10
4.5K
py3-ovos-phal-plugin-connectivity-events-pyc-0.1.2-r0.apk
2025-07-15 22:03
5.1K
py3-ovos-phal-plugin-connectivity-events-0.1.2-r0.apk
2025-07-15 22:03
8.8K
py3-ovos-ocp-rss-plugin-pyc-0.1.1-r0.apk
2025-07-15 22:03
3.9K
py3-ovos-ocp-rss-plugin-0.1.1-r0.apk
2025-07-15 22:03
8.2K
py3-ovos-ocp-news-plugin-pyc-0.1.1-r0.apk
2025-07-15 22:03
8.9K
py3-ovos-ocp-news-plugin-0.1.1-r0.apk
2025-07-15 22:03
11K
py3-ovos-ocp-m3u-plugin-pyc-0.0.2-r0.apk
2024-10-25 21:10
3.4K
py3-ovos-ocp-m3u-plugin-0.0.2-r0.apk
2024-10-25 21:10
8.1K
py3-ovos-ocp-files-plugin-pyc-0.13.1-r0.apk
2024-10-25 21:10
104K
py3-ovos-ocp-files-plugin-0.13.1-r0.apk
2024-10-25 21:10
47K
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk
2024-10-25 21:10
86K
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk
2024-10-25 21:10
550K
py3-ovos-microphone-plugin-alsa-pyc-0.1.2-r0.apk
2025-07-15 22:03
4.8K
py3-ovos-microphone-plugin-alsa-0.1.2-r0.apk
2025-07-15 22:03
8.9K
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk
2024-10-25 21:10
437K
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk
2024-10-25 21:10
358K
py3-ovos-config-pyc-2.1.1-r0.apk
2025-07-15 20:37
35K
py3-ovos-config-2.1.1-r0.apk
2025-07-15 20:37
47K
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk
2024-10-25 21:10
164K
py3-ovos-classifiers-0.0.0_alpha53-r0.apk
2024-10-25 21:10
103K
py3-ovos-bus-client-pyc-1.3.4-r0.apk
2025-05-03 22:03
89K
py3-ovos-bus-client-1.3.4-r0.apk
2025-05-03 22:03
50K
py3-ovos-backend-client-pyc-1.0.0-r0.apk
2024-10-25 21:10
91K
py3-ovos-backend-client-1.0.0-r0.apk
2024-10-25 21:10
46K
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk
2024-10-25 21:10
8.2K
py3-ovos-audio-plugin-simple-0.0.1-r0.apk
2024-10-25 21:10
10K
py3-osqp-pyc-0.6.2-r6.apk
2024-10-25 21:10
77K
py3-osqp-dev-0.6.2-r6.apk
2024-10-25 21:10
49K
py3-osqp-0.6.2-r6.apk
2024-10-25 21:10
101K
py3-orderedmultidict-pyc-1.0.1-r7.apk
2024-10-25 21:10
17K
py3-orderedmultidict-1.0.1-r7.apk
2024-10-25 21:10
12K
py3-openwisp-utils-pyc-1.0.4-r4.apk
2024-10-25 21:10
42K
py3-openwisp-utils-1.0.4-r4.apk
2024-10-25 21:10
498K
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk
2024-10-25 21:10
10K
py3-openssh-wrapper-0.5_git20130425-r4.apk
2024-10-25 21:10
8.2K
py3-opendht-3.1.11-r0.apk
2025-01-27 21:56
146K
py3-openapi-codec-pyc-1.3.2-r9.apk
2024-10-25 21:10
12K
py3-openapi-codec-1.3.2-r9.apk
2024-10-25 21:10
7.6K
py3-okonomiyaki-pyc-2.0.0-r1.apk
2025-10-14 20:56
243K
py3-okonomiyaki-2.0.0-r1.apk
2025-10-14 20:56
7.9M
py3-nwdiag-pyc-3.0.0-r3.apk
2024-10-25 21:10
78K
py3-nwdiag-3.0.0-r3.apk
2024-10-25 21:10
4.9M
py3-numpy-stl-pyc-3.2.0-r0.apk
2024-12-01 03:04
28K
py3-numpy-stl-3.2.0-r0.apk
2024-12-01 03:04
21K
py3-ntplib-pyc-0.4.0-r5.apk
2024-10-25 21:10
8.6K
py3-ntplib-0.4.0-r5.apk
2024-10-25 21:10
7.4K
py3-nptyping-pyc-2.5.0-r3.apk
2024-10-25 21:10
32K
py3-nptyping-2.5.0-r3.apk
2024-10-25 21:10
21K
py3-notifymail-pyc-1.1-r8.apk
2024-10-25 21:10
5.7K
py3-notifymail-1.1-r8.apk
2024-10-25 21:10
7.6K
py3-nose-timer-pyc-1.0.1-r6.apk
2024-10-25 21:10
9.9K
py3-nose-timer-1.0.1-r6.apk
2024-10-25 21:10
9.4K
py3-nmap-pyc-0.7.1-r4.apk
2024-10-25 21:10
25K
py3-nmap-0.7.1-r4.apk
2024-10-25 21:10
20K
py3-nikola-pyc-8.3.3-r0.apk
2025-10-11 12:49
545K
py3-nikola-doc-8.3.3-r0.apk
2025-10-11 12:49
61K
py3-nikola-8.3.3-r0.apk
2025-10-11 12:49
4.3M
py3-netmiko-pyc-4.6.0-r0.apk
2025-08-13 17:31
372K
py3-netmiko-4.6.0-r0.apk
2025-08-13 17:31
192K
py3-netifaces2-pyc-0.0.22-r0.apk
2024-10-25 21:10
9.2K
py3-netifaces2-0.0.22-r0.apk
2024-10-25 21:10
184K
py3-ncclient-pyc-0.6.13-r5.apk
2024-10-25 21:10
107K
py3-ncclient-0.6.13-r5.apk
2024-10-25 21:10
68K
py3-natpmp-pyc-1.3.2-r1.apk
2024-10-25 21:10
9.9K
py3-natpmp-1.3.2-r1.apk
2024-10-25 21:10
9.4K
py3-mss-10.0.0-r0.apk
2024-11-14 14:09
51K
py3-msldap-pyc-0.5.15-r2.apk
2025-10-17 19:04
330K
py3-msldap-examples-0.5.15-r2.apk
2025-10-17 19:04
18K
py3-msldap-0.5.15-r2.apk
2025-10-17 19:04
127K
py3-moviepy-pyc-1.0.3-r6.apk
2024-10-25 21:10
156K
py3-moviepy-1.0.3-r6.apk
2024-10-25 21:10
94K
py3-more-properties-pyc-1.1.1-r3.apk
2024-10-25 21:10
8.2K
py3-more-properties-1.1.1-r3.apk
2024-10-25 21:10
7.4K
py3-mopidy-tidal-pyc-0.3.2-r6.apk
2024-10-25 21:10
36K
py3-mopidy-tidal-0.3.2-r6.apk
2024-10-25 21:10
25K
py3-mopidy-spotify-pyc-5.0.0_alpha3-r0.apk
2024-10-25 21:10
39K
py3-mopidy-spotify-5.0.0_alpha3-r0.apk
2024-10-25 21:10
24K
py3-mopidy-mpd-pyc-3.3.0-r4.apk
2024-10-25 21:10
74K
py3-mopidy-mpd-3.3.0-r4.apk
2024-10-25 21:10
46K
py3-mopidy-local-pyc-3.3.0-r0.apk
2025-01-01 23:38
34K
py3-mopidy-local-3.3.0-r0.apk
2025-01-01 23:38
28K
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk
2024-10-25 21:10
37K
py3-mopidy-jellyfin-1.0.4-r4.apk
2024-10-25 21:10
25K
py3-modern_colorthief-pyc-0.1.7-r0.apk
2025-06-16 20:00
2.6K
py3-modern_colorthief-0.1.7-r0.apk
2025-06-16 20:00
725K
py3-modbus-tk-pyc-1.1.1-r4.apk
2024-10-25 21:10
48K
py3-modbus-tk-1.1.1-r4.apk
2024-10-25 21:10
25K
py3-mnemonic-pyc-0.21-r0.apk
2024-10-25 21:10
9.7K
py3-mnemonic-doc-0.21-r0.apk
2024-10-25 21:10
2.4K
py3-mnemonic-0.21-r0.apk
2024-10-25 21:10
95K
py3-mistune1-pyc-0.8.4-r6.apk
2025-11-09 19:52
21K
py3-mistune1-0.8.4-r6.apk
2025-11-09 19:52
14K
py3-mistletoe-pyc-1.4.0-r0.apk
2025-03-26 12:51
93K
py3-mistletoe-1.4.0-r0.apk
2025-03-26 12:51
45K
py3-minio-pyc-7.2.18-r0.apk
2025-11-10 04:17
155K
py3-minio-7.2.18-r0.apk
2025-11-10 04:17
75K
py3-minikerberos-pyc-0.4.9-r0.apk
2025-11-03 07:49
287K
py3-minikerberos-examples-0.4.9-r0.apk
2025-11-03 07:49
17K
py3-minikerberos-0.4.9-r0.apk
2025-11-03 07:49
123K
py3-minidump-pyc-0.0.24-r1.apk
2025-05-29 14:00
129K
py3-minidump-0.0.24-r1.apk
2025-05-29 14:00
64K
py3-minidb-pyc-2.0.8-r0.apk
2024-11-13 20:44
23K
py3-minidb-2.0.8-r0.apk
2024-11-13 20:44
10K
py3-milc-pyc-1.9.1-r0.apk
2025-01-26 07:27
42K
py3-milc-1.9.1-r0.apk
2025-01-26 07:27
26K
py3-migen-pyc-0.9.2-r2.apk
2024-10-25 21:10
296K
py3-migen-0.9.2-r2.apk
2024-10-25 21:10
143K
py3-meshtastic-2.7.2-r0.apk
2025-09-16 16:11
540K
py3-mbedtls-pyc-2.10.1-r3.apk
2025-05-29 14:00
27K
py3-mbedtls-2.10.1-r3.apk
2025-05-29 14:00
926K
py3-marshmallow-pyc-3.26.1-r0.apk
2025-02-22 16:37
85K
py3-marshmallow-enum-pyc-1.5.1-r7.apk
2024-10-25 21:10
4.5K
py3-marshmallow-enum-1.5.1-r7.apk
2024-10-25 21:10
5.3K
py3-marshmallow-3.26.1-r0.apk
2025-02-22 16:37
48K
py3-markdownify-pyc-1.2.2-r0.apk
2025-11-27 17:00
18K
py3-markdownify-1.2.2-r0.apk
2025-11-27 17:00
16K
py3-markdown2-pyc-2.5.0-r0.apk
2024-10-25 21:10
75K
py3-markdown2-2.5.0-r0.apk
2024-10-25 21:10
47K
py3-marisa-trie-1.3.1-r0.apk
2025-08-29 11:31
130K
py3-mapbox-earcut-1.0.1-r2.apk
2024-10-25 21:10
60K
py3-manuel-pyc-1.13.0-r1.apk
2025-10-14 17:04
26K
py3-manuel-1.13.0-r1.apk
2025-10-14 17:04
39K
py3-mando-pyc-0.8.2-r0.apk
2025-12-18 12:02
35K
py3-mando-doc-0.8.2-r0.apk
2025-12-18 12:02
4.2K
py3-mando-0.8.2-r0.apk
2025-12-18 12:02
22K
py3-maidenhead-pyc-1.8.0-r0.apk
2025-08-10 23:20
8.0K
py3-maidenhead-doc-1.8.0-r0.apk
2025-08-10 23:20
3.7K
py3-maidenhead-1.8.0-r0.apk
2025-08-10 23:20
7.9K
py3-m2crypto-pyc-0.41.0-r2.apk
2024-10-25 21:10
123K
py3-m2crypto-0.41.0-r2.apk
2024-10-25 21:10
191K
py3-lzo-pyc-1.16-r1.apk
2024-10-25 21:10
2.0K
py3-lzo-1.16-r1.apk
2024-10-25 21:10
17K
py3-ly-pyc-0.9.9-r0.apk
2025-07-12 23:56
352K
py3-ly-doc-0.9.9-r0.apk
2025-07-12 23:56
8.1K
py3-ly-0.9.9-r0.apk
2025-07-12 23:56
187K
py3-lxmf-pyc-0.8.0-r0.apk
2025-09-23 23:13
109K
py3-lxmf-0.8.0-r0.apk
2025-09-23 23:13
51K
py3-lunr-pyc-0.6.2-r4.apk
2024-10-25 21:10
51K
py3-lunr-0.6.2-r4.apk
2024-10-25 21:10
32K
py3-luhn-pyc-0.2.0-r9.apk
2024-10-25 21:10
2.6K
py3-luhn-0.2.0-r9.apk
2024-10-25 21:10
4.0K
py3-lsprotocol-pyc-2023.0.1-r1.apk
2024-10-25 21:10
107K
py3-lsprotocol-2023.0.1-r1.apk
2024-10-25 21:10
69K
py3-lsp-mypy-pyc-0.7.0-r1.apk
2025-08-30 03:39
13K
py3-lsp-mypy-0.7.0-r1.apk
2025-08-30 03:39
13K
py3-lsp-black-pyc-2.0.0-r1.apk
2024-10-25 21:10
6.4K
py3-lsp-black-2.0.0-r1.apk
2024-10-25 21:10
7.5K
py3-logtop-pyc-0.7-r1.apk
2025-08-10 03:01
4.1K
py3-logtop-0.7-r1.apk
2025-08-10 03:01
22K
py3-log-symbols-pyc-0.0.14-r5.apk
2024-10-25 21:10
3.1K
py3-log-symbols-0.0.14-r5.apk
2024-10-25 21:10
4.2K
py3-livestream-pyc-2.1.0-r0.apk
2024-11-25 23:22
30K
py3-livestream-2.1.0-r0.apk
2024-11-25 23:22
766K
py3-litex-hub-valentyusb-2024.04-r0.apk
2024-10-25 21:10
112K
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk
2024-10-25 21:10
4.7M
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk
2024-10-25 21:10
2.2M
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk
2024-10-25 21:10
58K
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk
2024-10-25 21:10
2.4M
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk
2024-10-25 21:10
713K
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk
2024-10-25 21:10
675K
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk
2024-10-25 21:10
57M
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk
2024-10-25 21:10
230K
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk
2024-10-25 21:10
7.6K
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk
2024-10-25 21:10
221K
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk
2024-10-25 21:10
45K
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk
2024-10-25 21:10
19M
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk
2024-10-25 21:10
208K
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk
2024-10-25 21:10
112K
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk
2024-10-25 21:10
1.8M
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk
2024-10-25 21:10
10M
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk
2024-10-25 21:10
500K
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk
2024-10-25 21:10
934K
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk
2024-10-25 21:10
1.9M
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk
2024-10-25 21:10
5.6M
py3-litex-hub-modules-pyc-2024.04-r0.apk
2024-10-25 21:10
1.1M
py3-litex-hub-modules-2024.04-r0.apk
2024-10-25 21:10
1.6K
py3-linkify-it-py-pyc-2.0.3-r1.apk
2024-10-25 21:10
23K
py3-linkify-it-py-2.0.3-r1.apk
2024-10-25 21:10
21K
py3-limits-pyc-3.14.1-r0.apk
2024-12-25 19:57
71K
py3-limits-3.14.1-r0.apk
2024-12-25 19:57
33K
py3-librtmp-pyc-0.3.0-r6.apk
2024-10-25 21:10
25K
py3-librtmp-0.3.0-r6.apk
2024-10-25 21:10
36K
py3-libpyshell-pyc-0.4.1-r1.apk
2025-06-10 11:40
18K
py3-libpyshell-0.4.1-r1.apk
2025-06-10 11:40
12K
py3-libnacl-pyc-2.1.0-r1.apk
2024-10-25 21:10
30K
py3-libnacl-2.1.0-r1.apk
2024-10-25 21:10
20K
py3-libmdbx-pyc-0.10.2-r7.apk
2024-10-25 21:10
33K
py3-libmdbx-0.10.2-r7.apk
2024-10-25 21:10
28K
py3-liblarch-pyc-3.2.0-r6.apk
2024-12-08 22:43
50K
py3-liblarch-3.2.0-r6.apk
2024-12-08 22:43
30K
py3-libiio-0.25-r2.apk
2024-10-25 21:10
13K
py3-libguestfs-1.56.1-r0.apk
2025-07-23 06:04
180K
py3-libcec-rpi-6.0.2-r4.apk
2024-10-25 21:10
106K
py3-libacl-0.7.3-r0.apk
2025-10-17 00:02
26K
py3-lib_users-pyc-0.15-r4.apk
2024-10-25 21:10
9.5K
py3-lib_users-0.15-r4.apk
2024-10-25 21:10
16K
py3-latex2mathml-pyc-3.78.1-r1.apk
2025-09-29 21:07
35K
py3-latex2mathml-3.78.1-r1.apk
2025-09-29 21:07
72K
py3-language-data-pyc-1.3.0-r0.apk
2024-12-01 21:08
3.0M
py3-language-data-1.3.0-r0.apk
2024-12-01 21:08
5.0M
py3-langcodes-pyc-3.3.0-r2.apk
2024-10-25 21:10
110K
py3-langcodes-3.3.0-r2.apk
2024-10-25 21:10
174K
py3-landlock-pyc-1.0.0_pre4-r2.apk
2024-10-25 21:10
9.5K
py3-landlock-1.0.0_pre4-r2.apk
2024-10-25 21:10
8.4K
py3-knowit-pyc-0.5.11-r0.apk
2025-11-24 01:46
62K
py3-knowit-0.5.11-r0.apk
2025-11-24 01:46
32K
py3-kerberos-1.3.1-r5.apk
2024-10-25 21:10
17K
py3-keepalive-pyc-0.5-r5.apk
2024-10-25 21:10
13K
py3-keepalive-doc-0.5-r5.apk
2024-10-25 21:10
2.0K
py3-keepalive-0.5-r5.apk
2024-10-25 21:10
9.0K
py3-kazoo-pyc-0_git20211202-r4.apk
2024-10-25 21:10
245K
py3-kazoo-0_git20211202-r4.apk
2024-10-25 21:10
125K
py3-joserfc-pyc-1.5.0-r0.apk
2025-12-04 19:50
110K
py3-joserfc-1.5.0-r0.apk
2025-12-04 19:50
54K
py3-jaraco.versioning-pyc-1.1.0-r0.apk
2024-10-25 21:10
6.1K
py3-jaraco.versioning-1.1.0-r0.apk
2024-10-25 21:10
5.9K
py3-jaraco.vcs-pyc-2.4.1-r0.apk
2025-03-11 04:55
16K
py3-jaraco.vcs-2.4.1-r0.apk
2025-03-11 04:55
10K
py3-jaraco.stream-pyc-3.0.4-r0.apk
2024-12-14 23:49
8.1K
py3-jaraco.stream-3.0.4-r0.apk
2024-12-14 23:49
6.7K
py3-jaraco.path-pyc-3.7.2-r0.apk
2024-10-25 21:10
9.5K
py3-jaraco.path-3.7.2-r0.apk
2024-10-25 21:10
7.6K
py3-jaraco.logging-pyc-3.4.0-r0.apk
2025-06-18 00:15
6.1K
py3-jaraco.logging-3.4.0-r0.apk
2025-06-18 00:15
5.3K
py3-janus-pyc-1.2.0-r0.apk
2024-12-13 05:57
13K
py3-janus-1.2.0-r0.apk
2024-12-13 05:57
12K
py3-itunespy-pyc-1.6-r5.apk
2025-05-14 20:17
15K
py3-itunespy-1.6-r5.apk
2025-05-14 20:17
9.7K
py3-iterable-io-pyc-1.0.1-r0.apk
2026-01-08 14:53
5.4K
py3-iterable-io-1.0.1-r0.apk
2026-01-08 14:53
6.0K
py3-itemloaders-pyc-1.3.2-r1.apk
2025-08-10 03:01
17K
py3-itemloaders-1.3.2-r1.apk
2025-08-10 03:01
12K
py3-itemadapter-pyc-0.10.0-r0.apk
2024-11-30 21:42
13K
py3-itemadapter-0.10.0-r0.apk
2024-11-30 21:42
11K
py3-iso639-lang-pyc-2.6.3-r0.apk
2025-12-04 19:50
12K
py3-iso639-lang-2.6.3-r0.apk
2025-12-04 19:50
311K
py3-isbnlib-pyc-3.10.14-r0.apk
2025-01-26 07:27
67K
py3-isbnlib-3.10.14-r0.apk
2025-01-26 07:27
43K
py3-irc-pyc-20.4.1-r1.apk
2025-10-09 19:38
70K
py3-irc-20.4.1-r1.apk
2025-10-09 19:38
40K
py3-ioctl-opt-pyc-1.3-r0.apk
2025-01-27 22:37
4.6K
py3-ioctl-opt-1.3-r0.apk
2025-01-27 22:37
12K
py3-intervals-pyc-0.9.2-r5.apk
2024-10-25 21:10
15K
py3-intervals-0.9.2-r5.apk
2024-10-25 21:10
9.4K
py3-iniparse-pyc-0.5-r7.apk
2024-10-25 21:10
25K
py3-iniparse-doc-0.5-r7.apk
2024-10-25 21:10
10K
py3-iniparse-0.5-r7.apk
2024-10-25 21:10
19K
py3-infinity-pyc-1.5-r6.apk
2024-10-25 21:10
3.7K
py3-infinity-1.5-r6.apk
2024-10-25 21:10
4.4K
py3-incoming-pyc-0.3.1-r8.apk
2024-10-25 21:10
20K
py3-incoming-0.3.1-r8.apk
2024-10-25 21:10
13K
py3-imdbpy-pyc-2021.4.18-r5.apk
2024-10-25 21:10
243K
py3-imdbpy-2021.4.18-r5.apk
2024-10-25 21:10
229K
py3-imageio-pyc-2.37.0-r0.apk
2025-07-12 08:30
504K
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk
2024-10-25 21:10
20K
py3-imageio-ffmpeg-0.4.9-r1.apk
2024-10-25 21:10
16K
py3-imageio-2.37.0-r0.apk
2025-07-12 08:30
285K
py3-igraph-pyc-1.0.0-r0.apk
2025-10-28 03:27
374K
py3-igraph-dev-1.0.0-r0.apk
2025-10-28 03:27
2.6K
py3-igraph-1.0.0-r0.apk
2025-10-28 03:27
408K
py3-icalendar-searcher-pyc-1.0.3-r0.apk
2025-12-07 20:13
26K
py3-icalendar-searcher-1.0.3-r0.apk
2025-12-07 20:13
35K
py3-hurry.filesize-pyc-0.9-r8.apk
2024-10-25 21:10
3.3K
py3-hurry.filesize-0.9-r8.apk
2024-10-25 21:10
4.6K
py3-html5-parser-pyc-0.4.12-r1.apk
2024-10-25 21:10
22K
py3-html5-parser-0.4.12-r1.apk
2024-10-25 21:10
167K
py3-hishel-pyc-0.1.4-r0.apk
2025-10-15 14:50
144K
py3-hishel-0.1.4-r0.apk
2025-10-15 14:50
77K
py3-himitsu-pyc-0.0.9-r0.apk
2025-08-25 12:57
7.5K
py3-himitsu-0.0.9-r0.apk
2025-08-25 12:57
5.8K
py3-highctidh-pyc-1.0.2024092800-r0.apk
2024-11-25 21:23
12K
py3-highctidh-1.0.2024092800-r0.apk
2024-11-25 21:23
376K
py3-hg-git-pyc-1.1.1-r1.apk
2024-10-25 21:10
107K
py3-hg-git-1.1.1-r1.apk
2024-10-25 21:10
70K
py3-hfst-3.16.2-r1.apk
2026-01-14 05:08
353K
py3-helper-pyc-2.5.0-r5.apk
2024-10-25 21:10
28K
py3-helper-2.5.0-r5.apk
2024-10-25 21:10
19K
py3-hatch-openzim-pyc-0.2.0-r0.apk
2024-10-25 21:10
13K
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk
2024-10-25 21:10
13K
py3-hatch-openzim-bootstrap-0.1.0-r0.apk
2024-10-25 21:10
24K
py3-hatch-openzim-0.2.0-r0.apk
2024-10-25 21:10
25K
py3-halo-pyc-0.0.31-r5.apk
2024-10-25 21:10
14K
py3-halo-0.0.31-r5.apk
2024-10-25 21:10
11K
py3-gtkspellcheck-pyc-5.0.3-r1.apk
2025-08-10 03:01
30K
py3-gtkspellcheck-5.0.3-r1.apk
2025-08-10 03:01
45K
py3-grequests-pyc-0.7.0-r3.apk
2025-05-14 20:17
5.8K
py3-grequests-0.7.0-r3.apk
2025-05-14 20:17
6.7K
py3-googletrans-pyc-3.0.0-r5.apk
2024-10-25 21:10
17K
py3-googletrans-3.0.0-r5.apk
2024-10-25 21:10
15K
py3-google-trans-new-pyc-1.1.9-r2.apk
2024-10-25 21:10
11K
py3-google-trans-new-1.1.9-r2.apk
2024-10-25 21:10
9.2K
py3-gls-pyc-1.3.1-r1.apk
2024-10-25 21:10
84K
py3-gls-1.3.1-r1.apk
2024-10-25 21:10
47K
py3-glob2-pyc-0.7-r6.apk
2024-10-25 21:10
13K
py3-glob2-0.7-r6.apk
2024-10-25 21:10
10K
py3-github3-pyc-4.0.1-r1.apk
2024-10-25 21:10
227K
py3-github3-4.0.1-r1.apk
2024-10-25 21:10
128K
py3-git-versioner-pyc-7.1-r1.apk
2024-10-25 21:10
14K
py3-git-versioner-7.1-r1.apk
2024-10-25 21:10
12K
py3-gevent-websocket-pyc-0.10.1-r8.apk
2024-10-25 21:10
30K
py3-gevent-websocket-0.10.1-r8.apk
2024-10-25 21:10
20K
py3-geoip-1.3.2-r4.apk
2024-10-25 21:10
23K
py3-gdcm-3.2.2-r3.apk
2025-12-02 06:55
659K
py3-furl-pyc-2.1.4-r0.apk
2025-07-13 23:52
32K
py3-furl-2.1.4-r0.apk
2025-07-13 23:52
28K
py3-freetype-py-2.5.1-r0.apk
2024-10-25 21:10
161K
py3-fpdf-pyc-1.7.2-r5.apk
2024-10-25 21:10
89K
py3-fpdf-1.7.2-r5.apk
2024-10-25 21:10
40K
py3-forbiddenfruit-pyc-0.1.4-r2.apk
2024-10-25 21:10
9.7K
py3-forbiddenfruit-0.1.4-r2.apk
2024-10-25 21:10
9.0K
py3-flask-themer-pyc-2.0.0-r2.apk
2024-10-25 21:10
7.0K
py3-flask-themer-2.0.0-r2.apk
2024-10-25 21:10
7.9K
py3-flask-socketio-pyc-5.5.1-r0.apk
2025-09-06 18:20
26K
py3-flask-socketio-doc-5.5.1-r0.apk
2025-09-06 18:20
23K
py3-flask-socketio-5.5.1-r0.apk
2025-09-06 18:20
18K
py3-flask-security-pyc-5.6.2-r0.apk
2025-11-10 04:16
227K
py3-flask-security-5.6.2-r0.apk
2025-11-10 04:16
297K
py3-flask-restless-pyc-0.17.0-r9.apk
2024-10-25 21:10
59K
py3-flask-restless-0.17.0-r9.apk
2024-10-25 21:10
40K
py3-flask-restaction-pyc-0.25.3-r8.apk
2024-10-25 21:10
20K
py3-flask-restaction-0.25.3-r8.apk
2024-10-25 21:10
115K
py3-flask-qrcode-pyc-3.2.0-r0.apk
2024-12-12 08:39
6.2K
py3-flask-qrcode-3.2.0-r0.apk
2024-12-12 08:39
18K
py3-flask-peewee-pyc-3.0.6-r0.apk
2024-10-25 21:10
95K
py3-flask-peewee-3.0.6-r0.apk
2024-10-25 21:10
172K
py3-flask-paginate-pyc-0.8.1-r6.apk
2024-10-25 21:10
11K
py3-flask-paginate-0.8.1-r6.apk
2024-10-25 21:10
8.2K
py3-flask-migrate-pyc-4.1.0-r0.apk
2025-07-13 23:53
18K
py3-flask-migrate-4.1.0-r0.apk
2025-07-13 23:53
13K
py3-flask-markdown-pyc-0.3-r8.apk
2024-10-25 21:10
3.9K
py3-flask-markdown-0.3-r8.apk
2024-10-25 21:10
5.6K
py3-flask-mailman-pyc-1.1.1-r0.apk
2024-10-25 21:10
26K
py3-flask-mailman-1.1.1-r0.apk
2024-10-25 21:10
16K
py3-flask-loopback-pyc-1.4.7-r7.apk
2024-10-25 21:10
7.9K
py3-flask-loopback-1.4.7-r7.apk
2024-10-25 21:10
5.6K
py3-flask-limiter-pyc-3.10.1-r0.apk
2025-01-26 07:27
47K
py3-flask-limiter-3.10.1-r0.apk
2025-01-26 07:27
27K
py3-flask-json-schema-pyc-0.0.5-r4.apk
2024-10-25 21:10
3.4K
py3-flask-json-schema-0.0.5-r4.apk
2024-10-25 21:10
4.1K
py3-flask-httpauth-pyc-4.8.0-r3.apk
2025-11-29 12:38
11K
py3-flask-httpauth-4.8.0-r3.apk
2025-11-29 12:38
7.8K
py3-flask-headers-pyc-1.0-r9.apk
2024-10-25 21:10
2.5K
py3-flask-headers-1.0-r9.apk
2024-10-25 21:10
3.2K
py3-flask-gzip-pyc-0.2-r8.apk
2024-10-25 21:10
2.8K
py3-flask-gzip-0.2-r8.apk
2024-10-25 21:10
3.2K
py3-flask-flatpages-pyc-0.8.3-r0.apk
2024-12-06 23:59
14K
py3-flask-flatpages-0.8.3-r0.apk
2024-12-06 23:59
11K
py3-flask-dbconfig-pyc-0.3.12-r8.apk
2024-10-25 21:10
6.3K
py3-flask-dbconfig-0.3.12-r8.apk
2024-10-25 21:10
86K
py3-flask-components-pyc-0.1.1-r9.apk
2024-10-25 21:10
3.3K
py3-flask-components-0.1.1-r9.apk
2024-10-25 21:10
3.9K
py3-flask-cdn-pyc-1.5.3-r8.apk
2024-10-25 21:10
4.1K
py3-flask-cdn-1.5.3-r8.apk
2024-10-25 21:10
4.8K
py3-flask-cache-pyc-0.13.1-r9.apk
2024-10-25 21:10
18K
py3-flask-cache-0.13.1-r9.apk
2024-10-25 21:10
13K
py3-flask-bootstrap-pyc-3.3.7.1-r9.apk
2025-05-14 00:00
11K
py3-flask-bootstrap-3.3.7.1-r9.apk
2025-05-14 00:00
449K
py3-flask-bcrypt-pyc-1.0.1-r5.apk
2024-10-25 21:10
5.8K
py3-flask-bcrypt-1.0.1-r5.apk
2024-10-25 21:10
7.1K
py3-flask-basicauth-pyc-0.2.0-r9.apk
2024-10-25 21:10
4.1K
py3-flask-basicauth-0.2.0-r9.apk
2024-10-25 21:10
5.3K
py3-flask-autorouter-pyc-0.2.2-r3.apk
2024-10-25 21:10
5.0K
py3-flask-autorouter-0.2.2-r3.apk
2024-10-25 21:10
5.1K
py3-flask-admin-pyc-1.6.1-r3.apk
2024-10-25 21:10
358K
py3-flask-admin-1.6.1-r3.apk
2024-10-25 21:10
6.5M
py3-flask-accept-pyc-0.0.7-r0.apk
2025-07-12 17:27
3.7K
py3-flask-accept-0.0.7-r0.apk
2025-07-12 17:27
5.6K
py3-flake8-todo-pyc-0.7-r7.apk
2024-10-25 21:10
2.2K
py3-flake8-todo-0.7-r7.apk
2024-10-25 21:10
3.6K
py3-flake8-snippets-pyc-0.2-r8.apk
2024-10-25 21:10
3.7K
py3-flake8-snippets-0.2-r8.apk
2024-10-25 21:10
5.3K
py3-flake8-print-pyc-5.0.0-r5.apk
2024-10-25 21:10
4.4K
py3-flake8-print-5.0.0-r5.apk
2024-10-25 21:10
6.7K
py3-flake8-polyfill-pyc-1.0.2-r5.apk
2024-10-25 21:10
5.7K
py3-flake8-polyfill-1.0.2-r5.apk
2024-10-25 21:10
7.1K
py3-flake8-isort-pyc-7.0.0-r0.apk
2025-10-25 22:12
5.4K
py3-flake8-isort-7.0.0-r0.apk
2025-10-25 22:12
18K
py3-flake8-import-order-pyc-0.18.2-r4.apk
2024-10-25 21:10
17K
py3-flake8-import-order-0.18.2-r4.apk
2024-10-25 21:10
15K
py3-flake8-debugger-pyc-4.1.2-r4.apk
2024-10-25 21:10
6.0K
py3-flake8-debugger-4.1.2-r4.apk
2024-10-25 21:10
6.2K
py3-flake8-copyright-pyc-0.2.4-r3.apk
2024-10-25 21:10
3.3K
py3-flake8-copyright-0.2.4-r3.apk
2024-10-25 21:10
18K
py3-flake8-builtins-pyc-2.5.0-r0.apk
2024-12-07 22:51
7.9K
py3-flake8-builtins-2.5.0-r0.apk
2024-12-07 22:51
13K
py3-flake8-blind-except-pyc-0.2.1-r4.apk
2024-10-25 21:10
2.6K
py3-flake8-blind-except-0.2.1-r4.apk
2024-10-25 21:10
5.2K
py3-firmata-pyc-1.0.3-r10.apk
2024-10-25 21:10
21K
py3-firmata-1.0.3-r10.apk
2024-10-25 21:10
14K
py3-ffmpeg-pyc-0.2.0-r5.apk
2025-05-14 20:17
33K
py3-ffmpeg-0.2.0-r5.apk
2025-05-14 20:17
24K
py3-feedgenerator-pyc-2.1.0-r2.apk
2024-10-25 21:10
27K
py3-feedgenerator-2.1.0-r2.apk
2024-10-25 21:10
18K
py3-feedgen-pyc-1.0.0-r1.apk
2024-10-25 21:10
62K
py3-feedgen-1.0.0-r1.apk
2024-10-25 21:10
40K
py3-fastdiff-pyc-0.3.0-r5.apk
2024-10-25 21:10
4.2K
py3-fastdiff-0.3.0-r5.apk
2024-10-25 21:10
38K
py3-fastavro-pyc-1.12.1-r0.apk
2025-10-14 03:59
82K
py3-fastavro-1.12.1-r0.apk
2025-10-14 03:59
428K
py3-evohome-client-pyc-0.3.9-r0.apk
2025-07-13 23:33
27K
py3-evohome-client-0.3.9-r0.apk
2025-07-13 23:33
19K
py3-eventlet-pyc-0.38.1-r0.apk
2024-12-11 22:36
336K
py3-eventlet-0.38.1-r0.apk
2024-12-11 22:36
332K
py3-evalidate-pyc-2.1.3-r0.apk
2025-12-16 16:13
12K
py3-evalidate-2.1.3-r0.apk
2025-12-16 16:13
14K
py3-euclid3-pyc-0.01-r8.apk
2024-10-25 21:10
33K
py3-euclid3-0.01-r8.apk
2024-10-25 21:10
14K
py3-eradicate-pyc-2.3.0-r2.apk
2024-10-25 21:10
8.3K
py3-eradicate-doc-2.3.0-r2.apk
2024-10-25 21:10
2.5K
py3-eradicate-2.3.0-r2.apk
2024-10-25 21:10
7.6K
py3-enzyme-pyc-0.5.2-r0.apk
2025-07-12 18:01
19K
py3-enzyme-0.5.2-r0.apk
2025-07-12 18:01
23K
py3-enlighten-pyc-1.14.1-r0.apk
2025-08-28 18:59
46K
py3-enlighten-1.14.1-r0.apk
2025-08-28 18:59
37K
py3-engineio-pyc-4.12.3-r0.apk
2025-11-27 10:35
104K
py3-engineio-doc-4.12.3-r0.apk
2025-11-27 10:35
33K
py3-engineio-4.12.3-r0.apk
2025-11-27 10:35
49K
py3-empy-pyc-3.3.4-r7.apk
2024-10-25 21:10
58K
py3-empy-3.3.4-r7.apk
2024-10-25 21:10
39K
py3-editdistance-s-pyc-1.0.0-r6.apk
2024-10-25 21:10
2.0K
py3-editdistance-s-1.0.0-r6.apk
2024-10-25 21:10
15K
py3-edalize-pyc-0.5.4-r0.apk
2024-10-25 21:10
190K
py3-edalize-0.5.4-r0.apk
2024-10-25 21:10
123K
py3-ecos-pyc-2.0.11-r4.apk
2024-10-25 21:10
3.6K
py3-ecos-2.0.11-r4.apk
2024-10-25 21:10
28K
py3-ecbdata-0.1.1-r0.apk
2025-04-14 01:33
13K
py3-dweepy-pyc-0.3.0-r7.apk
2024-10-25 21:10
6.3K
py3-dweepy-0.3.0-r7.apk
2024-10-25 21:10
9.1K
py3-duniterpy-1.1.1-r3.apk
2024-10-25 21:10
221K
py3-dunamai-pyc-1.25.0-r0.apk
2025-07-26 00:23
44K
py3-dunamai-1.25.0-r0.apk
2025-07-26 00:23
27K
py3-duckdb-pyc-1.4.3-r0.apk
2026-01-08 03:33
160K
py3-duckdb-1.4.3-r0.apk
2026-01-08 03:33
19M
py3-drf-yasg-pyc-1.21.10-r0.apk
2025-07-15 10:28
98K
py3-drf-yasg-1.21.10-r0.apk
2025-07-15 10:28
4.0M
py3-dpath-pyc-2.2.0-r0.apk
2024-10-25 21:10
18K
py3-dpath-2.2.0-r0.apk
2024-10-25 21:10
17K
py3-downloader-cli-pyc-0.3.4-r2.apk
2025-05-14 20:17
14K
py3-downloader-cli-0.3.4-r2.apk
2025-05-14 20:17
11K
py3-dotty-dict-pyc-1.3.1-r4.apk
2024-10-25 21:10
8.7K
py3-dotty-dict-1.3.1-r4.apk
2024-10-25 21:10
8.4K
py3-dominate-pyc-2.9.1-r1.apk
2024-10-25 21:10
34K
py3-dominate-2.9.1-r1.apk
2024-10-25 21:10
25K
py3-doit-pyc-0.36.0-r5.apk
2024-10-25 21:10
133K
py3-doit-0.36.0-r5.apk
2024-10-25 21:10
77K
py3-doi-pyc-0.2-r0.apk
2025-04-13 22:28
4.7K
py3-doi-0.2-r0.apk
2025-04-13 22:28
6.2K
py3-dogpile.cache-pyc-1.3.3-r1.apk
2025-05-14 20:17
90K
py3-dogpile.cache-1.3.3-r1.apk
2025-05-14 20:17
53K
py3-dnslib-pyc-0.9.26-r0.apk
2025-09-01 20:15
109K
py3-dnslib-0.9.26-r0.apk
2025-09-01 20:15
56K
py3-django-taggit-serializer-pyc-0.1.7-r8.apk
2024-10-25 21:10
5.0K
py3-django-taggit-serializer-0.1.7-r8.apk
2024-10-25 21:10
4.0K
py3-django-suit-pyc-0.2.28-r8.apk
2024-10-25 21:10
32K
py3-django-suit-0.2.28-r8.apk
2024-10-25 21:10
366K
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk
2024-10-25 21:10
15K
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk
2024-10-25 21:10
15K
py3-distorm3-pyc-3.5.2-r6.apk
2024-10-25 21:10
49K
py3-distorm3-3.5.2-r6.apk
2024-10-25 21:10
47K
py3-discid-pyc-1.3.0-r0.apk
2025-07-28 23:41
13K
py3-discid-1.3.0-r0.apk
2025-07-28 23:41
13K
py3-dexml-pyc-0.5.1-r9.apk
2024-10-25 21:10
37K
py3-dexml-0.5.1-r9.apk
2024-10-25 21:10
22K
py3-deluge-client-pyc-1.10.2-r0.apk
2024-10-25 21:10
20K
py3-deluge-client-doc-1.10.2-r0.apk
2024-10-25 21:10
2.3K
py3-deluge-client-1.10.2-r0.apk
2024-10-25 21:10
13K
py3-dbus-fast-pyc-3.1.2-r0.apk
2025-11-23 22:15
130K
py3-dbus-fast-doc-3.1.2-r0.apk
2025-11-23 22:15
6.2K
py3-dbus-fast-3.1.2-r0.apk
2025-11-23 22:15
771K
py3-daterangestr-pyc-0.0.3-r8.apk
2024-10-25 21:10
4.2K
py3-daterangestr-0.0.3-r8.apk
2024-10-25 21:10
4.4K
py3-dateparser-pyc-1.2.2-r0.apk
2025-07-06 21:46
335K
py3-dateparser-1.2.2-r0.apk
2025-07-06 21:46
216K
py3-dataclasses-serialization-pyc-1.3.1-r3.apk
2024-10-25 21:10
14K
py3-dataclasses-serialization-1.3.1-r3.apk
2024-10-25 21:10
11K
py3-dataclasses-json-pyc-0.6.7-r0.apk
2024-10-25 21:10
36K
py3-dataclasses-json-0.6.7-r0.apk
2024-10-25 21:10
27K
py3-dash-bootstrap-components-1.6.0-r0.apk
2025-04-13 22:28
16K
py3-dash-2.18.2-r0.apk
2025-04-13 22:28
7.4M
py3-cython-test-exception-raiser-pyc-25.11.0-r0.apk
2025-11-11 20:23
1.8K
py3-cython-test-exception-raiser-25.11.0-r0.apk
2025-11-11 20:23
18K
py3-cvxpy-pyc-1.2.1-r5.apk
2024-10-25 21:10
936K
py3-cvxpy-1.2.1-r5.apk
2024-10-25 21:10
656K
py3-cucumber-tag-expressions-pyc-6.1.1-r0.apk
2024-12-01 21:13
10K
py3-cucumber-tag-expressions-6.1.1-r0.apk
2024-12-01 21:13
8.7K
py3-cstruct-pyc-6.1-r0.apk
2025-08-22 01:06
38K
py3-cstruct-6.1-r0.apk
2025-08-22 01:06
23K
py3-cssutils-pyc-2.11.1-r1.apk
2024-10-25 21:10
279K
py3-cssutils-2.11.1-r1.apk
2024-10-25 21:10
155K
py3-cryptg-pyc-0.5.0-r0.apk
2025-05-08 14:22
1.9K
py3-cryptg-0.5.0-r0.apk
2025-05-08 14:22
168K
py3-croniter-pyc-6.0.0-r0.apk
2025-08-25 11:05
26K
py3-croniter-6.0.0-r0.apk
2025-08-25 11:05
26K
py3-createrepo_c-pyc-1.1.4-r1.apk
2025-12-30 21:57
15K
py3-createrepo_c-1.1.4-r1.apk
2025-12-30 21:57
41K
py3-crc16-pyc-0.1.1-r10.apk
2024-10-25 21:10
4.7K
py3-crc16-0.1.1-r10.apk
2024-10-25 21:10
13K
py3-coreapi-pyc-2.3.3-r9.apk
2024-10-25 21:10
43K
py3-coreapi-2.3.3-r9.apk
2024-10-25 21:10
22K
py3-cookiecutter-pyc-2.6.0-r1.apk
2024-10-25 21:10
47K
py3-cookiecutter-doc-2.6.0-r1.apk
2024-10-25 21:10
3.7K
py3-cookiecutter-2.6.0-r1.apk
2024-10-25 21:10
35K
py3-confusable-homoglyphs-pyc-3.3.1-r0.apk
2025-09-26 14:14
9.4K
py3-confusable-homoglyphs-3.3.1-r0.apk
2025-09-26 14:14
137K
py3-confluent-kafka-pyc-2.9.0-r0.apk
2025-05-15 12:05
227K
py3-confluent-kafka-2.9.0-r0.apk
2025-05-15 12:05
184K
py3-compdb-pyc-0.2.0-r8.apk
2024-10-25 21:10
40K
py3-compdb-doc-0.2.0-r8.apk
2024-10-25 21:10
3.0K
py3-compdb-0.2.0-r8.apk
2024-10-25 21:10
23K
py3-columnize-pyc-0.3.11-r4.apk
2024-10-25 21:10
7.5K
py3-columnize-0.3.11-r4.apk
2024-10-25 21:10
8.5K
py3-colorthief-pyc-0.2.1-r1.apk
2024-10-25 21:10
10K
py3-colorthief-0.2.1-r1.apk
2024-10-25 21:10
7.3K
py3-colander-pyc-2.0-r2.apk
2024-10-25 21:10
42K
py3-colander-2.0-r2.apk
2024-10-25 21:10
62K
py3-cobs-pyc-1.2.0-r4.apk
2024-10-25 21:10
12K
py3-cobs-1.2.0-r4.apk
2024-10-25 21:10
21K
py3-cmd2-pyc-2.4.3-r2.apk
2024-10-25 21:10
223K
py3-cmd2-2.4.3-r2.apk
2024-10-25 21:10
139K
py3-clickclick-pyc-20.10.2-r4.apk
2024-10-25 21:10
9.8K
py3-clickclick-20.10.2-r4.apk
2024-10-25 21:10
7.9K
py3-click-threading-pyc-0.5.0-r5.apk
2024-10-25 21:10
7.9K
py3-click-threading-0.5.0-r5.apk
2024-10-25 21:10
6.3K
py3-click-default-group-pyc-1.2.4-r1.apk
2024-10-25 21:10
4.5K
py3-click-default-group-1.2.4-r1.apk
2024-10-25 21:10
5.1K
py3-click-completion-pyc-0.5.2-r1.apk
2024-10-25 21:10
14K
py3-click-completion-0.5.2-r1.apk
2024-10-25 21:10
11K
py3-class-doc-pyc-1.25-r1.apk
2024-10-25 21:10
8.7K
py3-class-doc-1.25-r1.apk
2024-10-25 21:10
6.1K
py3-ciso8601-2.3.3-r0.apk
2025-11-10 20:41
17K
py3-chameleon-pyc-4.6.0-r0.apk
2025-07-06 11:16
131K
py3-chameleon-4.6.0-r0.apk
2025-07-06 11:16
97K
py3-certauth-pyc-1.3.0-r1.apk
2024-10-25 21:10
9.1K
py3-certauth-1.3.0-r1.apk
2024-10-25 21:10
8.7K
py3-cdio-pyc-2.1.1-r6.apk
2025-01-26 07:27
43K
py3-cdio-2.1.1-r6.apk
2025-01-26 07:27
100K
py3-cchardet-pyc-2.1.7-r5.apk
2024-10-25 21:10
3.1K
py3-cchardet-2.1.7-r5.apk
2024-10-25 21:10
123K
py3-catkin-pkg-pyc-0.5.2-r4.apk
2024-10-25 21:10
103K
py3-catkin-pkg-0.5.2-r4.apk
2024-10-25 21:10
57K
py3-cassandra-driver-pyc-3.29.2-r0.apk
2024-10-25 21:10
560K
py3-cassandra-driver-3.29.2-r0.apk
2024-10-25 21:10
286K
py3-caldav-pyc-2.2.3-r0.apk
2025-12-07 20:13
154K
py3-caldav-2.2.3-r0.apk
2025-12-07 20:13
113K
py3-c3d-pyc-0.6.0-r1.apk
2026-01-14 19:57
54K
py3-c3d-0.6.0-r1.apk
2026-01-14 19:57
32K
py3-businesstime-pyc-0.3.0-r9.apk
2024-10-25 21:10
16K
py3-businesstime-0.3.0-r9.apk
2024-10-25 21:10
11K
py3-bson-pyc-0.5.10-r6.apk
2024-10-25 21:10
19K
py3-bson-0.5.10-r6.apk
2024-10-25 21:10
12K
py3-bottle-werkzeug-pyc-0.1.1-r9.apk
2024-10-25 21:10
4.3K
py3-bottle-werkzeug-0.1.1-r9.apk
2024-10-25 21:10
4.1K
py3-bottle-websocket-pyc-0.2.9-r8.apk
2024-10-25 21:10
3.1K
py3-bottle-websocket-0.2.9-r8.apk
2024-10-25 21:10
4.6K
py3-bottle-sqlite-pyc-0.2.0-r7.apk
2024-10-25 21:10
5.2K
py3-bottle-sqlite-0.2.0-r7.apk
2024-10-25 21:10
4.7K
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk
2024-10-25 21:10
5.6K
py3-bottle-sqlalchemy-0.4.3-r8.apk
2024-10-25 21:10
4.8K
py3-bottle-session-pyc-1.0-r6.apk
2024-10-25 21:10
7.8K
py3-bottle-session-1.0-r6.apk
2024-10-25 21:10
10K
py3-bottle-rest-pyc-0.6.0-r1.apk
2024-10-25 21:10
5.2K
py3-bottle-rest-0.6.0-r1.apk
2024-10-25 21:10
6.1K
py3-bottle-request-pyc-0.2.0-r9.apk
2024-10-25 21:10
2.6K
py3-bottle-request-0.2.0-r9.apk
2024-10-25 21:10
3.2K
py3-bottle-renderer-pyc-0.1.1-r9.apk
2024-10-25 21:10
3.7K
py3-bottle-renderer-0.1.1-r9.apk
2024-10-25 21:10
4.0K
py3-bottle-redis-pyc-0.2.3-r6.apk
2024-10-25 21:10
3.1K
py3-bottle-redis-0.2.3-r6.apk
2024-10-25 21:10
3.3K
py3-bottle-pgsql-0.2-r5.apk
2024-10-25 21:10
4.3K
py3-bottle-api-pyc-0.0.4-r7.apk
2024-10-25 21:10
5.2K
py3-bottle-api-0.0.4-r7.apk
2024-10-25 21:10
4.9K
py3-bookkeeper-pyc-4.17.2-r0.apk
2025-07-13 23:43
67K
py3-bookkeeper-4.17.2-r0.apk
2025-07-13 23:43
43K
py3-blockdiag-tests-3.0.0-r6.apk
2025-01-10 17:19
2.5M
py3-blockdiag-pyc-3.0.0-r6.apk
2025-01-10 17:19
149K
py3-blockdiag-3.0.0-r6.apk
2025-01-10 17:19
68K
py3-blockchain-pyc-1.4.4-r7.apk
2024-10-25 21:10
18K
py3-blockchain-1.4.4-r7.apk
2024-10-25 21:10
11K
py3-bleak-0.22.3-r0.apk
2024-10-25 21:10
370K
py3-bitstruct-pyc-8.19.0-r1.apk
2024-10-25 21:10
13K
py3-bitstruct-8.19.0-r1.apk
2024-10-25 21:10
36K
py3-bite-parser-pyc-0.2.5-r0.apk
2024-10-28 22:51
23K
py3-bite-parser-0.2.5-r0.apk
2024-10-28 22:51
14K
py3-bidict-pyc-0.23.1-r1.apk
2024-10-25 21:10
29K
py3-bidict-0.23.1-r1.apk
2024-10-25 21:10
28K
py3-bibtexparser-pyc-1.4.3-r0.apk
2025-01-26 07:27
49K
py3-bibtexparser-1.4.3-r0.apk
2025-01-26 07:27
40K
py3-bencode-pyc-4.0.0-r1.apk
2024-10-25 21:10
10K
py3-bencode-4.0.0-r1.apk
2024-10-25 21:10
17K
py3-beartype-pyc-0.22.9-r0.apk
2025-12-15 19:33
744K
py3-beartype-0.22.9-r0.apk
2025-12-15 19:33
1.0M
py3-barcodenumber-pyc-0.2.1-r10.apk
2024-10-25 21:10
4.3K
py3-barcodenumber-0.2.1-r10.apk
2024-10-25 21:10
16K
py3-banal-pyc-1.0.6-r4.apk
2024-10-25 21:10
7.2K
py3-banal-1.0.6-r4.apk
2024-10-25 21:10
6.9K
py3-b2sdk-pyc-2.10.2-r0.apk
2025-12-16 19:41
429K
py3-b2sdk-2.10.2-r0.apk
2025-12-16 19:41
227K
py3-avro-pyc-1.11.3-r1.apk
2024-10-25 21:10
191K
py3-avro-1.11.3-r1.apk
2024-10-25 21:10
98K
py3-asysocks-pyc-0.2.18-r0.apk
2025-11-03 07:49
320K
py3-asysocks-examples-0.2.18-r0.apk
2025-11-03 07:49
36K
py3-asysocks-0.2.18-r0.apk
2025-11-03 07:49
88K
py3-asyauth-pyc-0.0.23-r0.apk
2025-11-03 07:49
186K
py3-asyauth-0.0.23-r0.apk
2025-11-03 07:49
84K
py3-astral-pyc-3.2-r3.apk
2024-10-25 21:10
59K
py3-astral-3.2-r3.apk
2024-10-25 21:10
37K
py3-ask-pyc-0.0.8-r8.apk
2024-10-25 21:10
4.5K
py3-ask-0.0.8-r8.apk
2024-10-25 21:10
5.0K
py3-asif-pyc-0.3.2-r3.apk
2024-10-25 21:10
26K
py3-asif-0.3.2-r3.apk
2024-10-25 21:10
13K
py3-arcus-5.3.0-r5.apk
2025-06-12 17:22
87K
py3-apt-pyc-3.1.0-r0.apk
2025-12-21 04:05
119K
py3-apt-lang-3.1.0-r0.apk
2025-12-21 04:05
80K
py3-apt-3.1.0-r0.apk
2025-12-21 04:05
172K
py3-apsw-pyc-3.51.2.0-r0.apk
2026-01-23 08:59
586K
py3-apsw-3.51.2.0-r0.apk
2026-01-23 08:59
894K
py3-apio-pyc-0.9.5-r0.apk
2024-10-25 21:10
77K
py3-apio-0.9.5-r0.apk
2024-10-25 21:10
72K
py3-apicula-pyc-0.11.1-r1.apk
2024-10-25 21:10
179K
py3-apicula-0.11.1-r1.apk
2024-10-25 21:10
8.5M
py3-anyascii-pyc-0.3.2-r1.apk
2024-10-25 21:10
3.3K
py3-anyascii-0.3.2-r1.apk
2024-10-25 21:10
275K
py3-ansible-pylibssh-1.2.2-r0.apk
2025-07-23 06:04
243K
py3-altgraph-pyc-0.17.4-r1.apk
2024-10-25 21:10
29K
py3-altgraph-0.17.4-r1.apk
2024-10-25 21:10
21K
py3-allfiles-pyc-1.0-r8.apk
2024-10-25 21:10
3.3K
py3-allfiles-1.0-r8.apk
2024-10-25 21:10
3.6K
py3-aioxmpp-pyc-0.13.3-r3.apk
2024-10-25 21:10
673K
py3-aioxmpp-doc-0.13.3-r3.apk
2024-10-25 21:10
18K
py3-aioxmpp-0.13.3-r3.apk
2024-10-25 21:10
388K
py3-aiowinreg-pyc-0.0.13-r0.apk
2025-11-03 07:49
44K
py3-aiowinreg-0.0.13-r0.apk
2025-11-03 07:49
22K
py3-aiosmb-pyc-0.4.14-r0.apk
2025-11-03 07:49
1.2M
py3-aiosmb-examples-0.4.14-r0.apk
2025-11-03 07:49
38K
py3-aiosmb-0.4.14-r0.apk
2025-11-03 07:49
585K
py3-aiosasl-pyc-0.5.0-r4.apk
2024-10-25 21:10
24K
py3-aiosasl-doc-0.5.0-r4.apk
2024-10-25 21:10
16K
py3-aiosasl-0.5.0-r4.apk
2024-10-25 21:10
30K
py3-aioopenssl-pyc-0.6.0-r4.apk
2024-10-25 21:10
19K
py3-aioopenssl-0.6.0-r4.apk
2024-10-25 21:10
21K
py3-aiohttp-session-pyc-2.12.1-r0.apk
2024-10-25 21:10
15K
py3-aiohttp-session-2.12.1-r0.apk
2024-10-25 21:10
10K
py3-aiohttp-remotes-pyc-1.3.0-r0.apk
2024-11-04 13:28
19K
py3-aiohttp-remotes-1.3.0-r0.apk
2024-11-04 13:28
10K
py3-aiohttp-jinja2-pyc-1.6-r2.apk
2024-10-25 21:10
9.2K
py3-aiohttp-jinja2-1.6-r2.apk
2024-10-25 21:10
12K
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk
2024-10-25 21:10
51K
py3-aiohttp-debugtoolbar-0.6.1-r2.apk
2024-10-25 21:10
446K
py3-aiodocker-pyc-0.21.0-r1.apk
2024-10-25 21:10
60K
py3-aiodocker-0.21.0-r1.apk
2024-10-25 21:10
29K
py3-agithub-pyc-2.2.2-r7.apk
2025-03-26 12:51
21K
py3-agithub-2.2.2-r7.apk
2025-03-26 12:51
19K
py3-aesedb-pyc-0.1.8-r0.apk
2025-11-03 07:49
75K
py3-aesedb-examples-0.1.8-r0.apk
2025-11-03 07:49
3.4K
py3-aesedb-0.1.8-r0.apk
2025-11-03 07:49
34K
py3-actdiag-pyc-3.0.0-r5.apk
2024-10-25 21:10
21K
py3-actdiag-3.0.0-r5.apk
2024-10-25 21:10
17K
py-spy-zsh-completion-0.3.14-r3.apk
2024-10-25 21:10
3.0K
py-spy-fish-completion-0.3.14-r3.apk
2024-10-25 21:10
2.6K
py-spy-doc-0.3.14-r3.apk
2024-10-25 21:10
2.3K
py-spy-bash-completion-0.3.14-r3.apk
2024-10-25 21:10
2.3K
py-spy-0.3.14-r3.apk
2024-10-25 21:10
883K
pxmenu-1.0.0-r1.apk
2024-10-25 21:10
2.9K
pxalarm-3.0.0-r0.apk
2024-10-25 21:10
2.9K
pwvucontrol-lang-0.5.0-r0.apk
2025-10-20 22:14
11K
pwvucontrol-dbg-0.5.0-r0.apk
2025-10-20 22:14
1.9M
pwvucontrol-0.5.0-r0.apk
2025-10-20 22:14
411K
pwru-1.0.7-r11.apk
2026-01-17 23:42
3.2M
pwntools-pyc-4.15.0-r0.apk
2025-12-31 15:55
1.7M
pwntools-doc-4.15.0-r0.apk
2025-12-31 15:55
38K
pwntools-4.15.0-r0.apk
2025-12-31 15:55
3.2M
pwndbg-pyc-2025.10.20-r0.apk
2025-12-31 15:55
1.2M
pwndbg-doc-2025.10.20-r0.apk
2025-12-31 15:55
3.8K
pwndbg-2025.10.20-r0.apk
2025-12-31 15:55
654K
pwauth-doc-2.3.11-r2.apk
2024-10-25 21:10
6.8K
pwauth-2.3.11-r2.apk
2024-10-25 21:10
3.9K
pw-volume-0.5.0-r1.apk
2024-10-25 21:10
309K
purple-hangouts-0_git20200422-r0.apk
2024-10-25 21:10
219K
purple-facebook-0.9.6-r0.apk
2024-10-25 21:10
75K
pure-doc-1.23.0-r0.apk
2025-10-20 16:00
7.9K
pure-data-libs-0.55.2-r0.apk
2025-06-30 09:25
649K
pure-data-doc-0.55.2-r0.apk
2025-06-30 09:25
5.4M
pure-data-dev-0.55.2-r0.apk
2025-06-30 09:25
53K
pure-data-0.55.2-r0.apk
2025-06-30 09:25
1.7M
pure-1.23.0-r0.apk
2025-10-20 16:00
18K
punch-pyc-0.1.1-r0.apk
2025-12-10 00:47
31K
punch-0.1.1-r0.apk
2025-12-10 00:47
30K
pulumi-watch-0.1.5-r2.apk
2024-10-25 21:10
806K
pulseview-doc-0.4.2-r8.apk
2024-10-25 21:10
3.7K
pulseview-0.4.2-r8.apk
2024-10-25 21:10
916K
pulsar-client-cpp-dev-3.7.1-r0.apk
2025-06-12 17:22
64K
pulsar-client-cpp-3.7.1-r0.apk
2025-06-12 17:22
1.3M
pully-openrc-1.0.0-r0.apk
2024-10-25 21:10
1.7K
pully-1.0.0-r0.apk
2024-10-25 21:10
2.5K
pug-0.6.5-r1.apk
2026-01-17 23:42
3.3M
ptylie-doc-0.2-r2.apk
2025-05-14 00:00
3.2K
ptylie-0.2-r2.apk
2025-05-14 00:00
12K
ptpd-openrc-2.3.1-r1.apk
2024-10-25 21:10
2.4K
ptpd-doc-2.3.1-r1.apk
2024-10-25 21:10
20K
ptpd-2.3.1-r1.apk
2024-10-25 21:10
170K
ptouch-print-doc-1.7-r0.apk
2025-09-13 16:13
3.1K
ptouch-print-1.7-r0.apk
2025-09-13 16:13
28K
psst-0_git20240526-r1.apk
2024-10-25 21:10
7.3M
pspp-doc-2.0.1-r1.apk
2025-10-09 19:38
9.0K
pspp-dbg-2.0.1-r1.apk
2025-10-09 19:38
4.3M
pspp-2.0.1-r1.apk
2025-10-09 19:38
9.7M
psi-plus-plugins-1.5.2096-r0.apk
2025-09-30 14:50
1.9M
psi-plus-1.5.2096-r0.apk
2025-09-30 14:50
8.9M
psi-notify-1.3.1-r0.apk
2024-10-25 21:10
11K
psftools-doc-1.1.2-r0.apk
2024-10-25 21:10
60K
psftools-dev-1.1.2-r0.apk
2024-10-25 21:10
79K
psftools-1.1.2-r0.apk
2024-10-25 21:10
339K
prowlarr-openrc-2.3.0.5236-r0.apk
2025-12-13 18:14
2.0K
prowlarr-2.3.0.5236-r0.apk
2025-12-13 18:14
19M
proverif-utils-2.05-r1.apk
2026-01-11 23:39
537K
proverif-interact-2.05-r1.apk
2026-01-11 23:39
2.3M
proverif-examples-2.05-r1.apk
2026-01-11 23:39
166K
proverif-emacs-2.05-r1.apk
2026-01-11 23:39
2.9K
proverif-2.05-r1.apk
2026-01-11 23:39
1.8M
protoconf-0.1.7-r19.apk
2026-01-17 23:42
7.2M
protoc-gen-js-4.0.1-r0.apk
2025-11-19 11:35
1.7M
protoc-gen-gorm-1.1.5-r1.apk
2026-01-17 23:42
2.3M
protoc-gen-go-grpc-1.77.0-r1.apk
2026-01-17 23:42
2.1M
protoc-gen-go-1.36.11-r1.apk
2026-01-17 23:42
2.2M
protoc-gen-doc-doc-1.5.1-r1.apk
2026-01-17 23:42
2.3K
protoc-gen-doc-1.5.1-r1.apk
2026-01-17 23:42
3.3M
protoc-gen-bq-schema-3.1.0-r1.apk
2026-01-17 23:42
1.6M
prosody-modules-0.11_hg20201208-r0.apk
2024-10-25 21:10
1.5K
prosody-mod-webpresence-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.6K
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.7K
prosody-mod-support_contact-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.0K
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.0K
prosody-mod-smacks-0.11_hg20201208-r0.apk
2024-10-25 21:10
8.6K
prosody-mod-server_status-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.8K
prosody-mod-saslname-0.11_hg20201208-r0.apk
2024-10-25 21:10
1.6K
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.0K
prosody-mod-require_otr-0.11_hg20201208-r0.apk
2024-10-25 21:10
1.7K
prosody-mod-reload_modules-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.0K
prosody-mod-register_redirect-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.7K
prosody-mod-register_json-0.11_hg20201208-r0.apk
2024-10-25 21:10
104K
prosody-mod-pastebin-0.11_hg20201208-r0.apk
2024-10-25 21:10
3.7K
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 21:10
6.9K
prosody-mod-mam_muc-0.11_hg20201208-r0.apk
2024-10-25 21:10
5.6K
prosody-mod-mam-0.11_hg20201208-r0.apk
2024-10-25 21:10
5.9K
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.2K
prosody-mod-log_auth-0.11_hg20201208-r0.apk
2024-10-25 21:10
1.8K
prosody-mod-ipcheck-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.0K
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.9K
prosody-mod-host_guard-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.8K
prosody-mod-conversejs-0.11_hg20201208-r0.apk
2024-10-25 21:10
3.3K
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk
2024-10-25 21:10
7.2K
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.0K
prosody-mod-broadcast-0.11_hg20201208-r0.apk
2024-10-25 21:10
1.8K
prosody-mod-bookmarks-0.11_hg20201208-r0.apk
2024-10-25 21:10
3.1K
prosody-mod-block_registrations-0.11_hg20201208-r0.apk
2024-10-25 21:10
1.8K
prosody-mod-auth_sql-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.6K
prosody-mod-auth_pam-0.11_hg20201208-r0.apk
2024-10-25 21:10
1.8K
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk
2024-10-25 21:10
2.8K
prometheus-unbound-exporter-openrc-0.4.6-r5.apk
2025-05-14 00:00
2.0K
prometheus-unbound-exporter-0.4.6-r5.apk
2025-05-14 00:00
3.5M
prometheus-smtp2go-exporter-openrc-0.1.1-r5.apk
2026-01-17 23:42
2.0K
prometheus-smtp2go-exporter-0.1.1-r5.apk
2026-01-17 23:42
3.3M
prometheus-smartctl-exporter-openrc-0.14.0-r6.apk
2026-01-17 23:42
1.9K
prometheus-smartctl-exporter-0.14.0-r6.apk
2026-01-17 23:42
4.4M
prometheus-rethinkdb-exporter-openrc-1.0.1-r34.apk
2026-01-17 23:42
1.7K
prometheus-rethinkdb-exporter-1.0.1-r34.apk
2026-01-17 23:42
4.0M
prometheus-podman-exporter-1.18.1-r4.apk
2026-01-17 23:42
14M
prometheus-opnsense-exporter-openrc-0.0.11-r3.apk
2026-01-17 23:42
2.1K
prometheus-opnsense-exporter-0.0.11-r3.apk
2026-01-17 23:42
4.6M
prometheus-fastly-exporter-10.2.0-r1.apk
2026-01-17 23:42
4.5M
prometheus-ceph-exporter-openrc-4.2.5-r10.apk
2026-01-17 23:42
1.9K
prometheus-ceph-exporter-4.2.5-r10.apk
2026-01-17 23:42
3.4M
projectsandcastle-loader-0_git20200307-r1.apk
2024-10-25 21:10
5.0K
projectm-sdl-3.1.12-r2.apk
2024-10-25 21:10
318K
projectm-pulseaudio-doc-3.1.12-r2.apk
2024-10-25 21:10
2.0K
projectm-pulseaudio-3.1.12-r2.apk
2024-10-25 21:10
408K
projectm-presets-3.1.12-r2.apk
2024-10-25 21:10
4.4M
projectm-dev-3.1.12-r2.apk
2024-10-25 21:10
629K
projectm-3.1.12-r2.apk
2024-10-25 21:10
434K
prjtrellis-db-machxo3d-0_git20230929-r0.apk
2024-10-25 21:10
748K
prjtrellis-db-machxo3-0_git20230929-r0.apk
2024-10-25 21:10
1.1M
prjtrellis-db-machxo2-0_git20230929-r0.apk
2024-10-25 21:10
1.0M
prjtrellis-db-machxo-0_git20230929-r0.apk
2024-10-25 21:10
39K
prjtrellis-db-ecp5-0_git20230929-r0.apk
2024-10-25 21:10
2.1M
prjtrellis-db-0_git20230929-r0.apk
2024-10-25 21:10
3.3K
prjtrellis-1.4-r2.apk
2024-10-25 21:10
1.2M
primesieve-libs-12.10-r0.apk
2025-11-25 20:07
112K
primesieve-doc-12.10-r0.apk
2025-11-25 20:07
4.1K
primesieve-dev-12.10-r0.apk
2025-11-25 20:07
1.3M
primesieve-12.10-r0.apk
2025-11-25 20:07
42K
primecount-libs-7.20-r0.apk
2025-11-25 20:07
161K
primecount-doc-7.20-r0.apk
2025-11-25 20:07
4.2K
primecount-dev-7.20-r0.apk
2025-11-25 20:07
2.2M
primecount-7.20-r0.apk
2025-11-25 20:07
29K
predoc-doc-0.2.3-r0.apk
2026-01-24 14:59
11K
predoc-0.2.3-r0.apk
2026-01-24 14:59
353K
predict-doc-2.3.1-r0.apk
2024-11-24 08:46
16K
predict-2.3.1-r0.apk
2024-11-24 08:46
91K
pqiv-doc-2.12-r1.apk
2024-10-25 21:10
12K
pqiv-2.12-r1.apk
2024-10-25 21:10
64K
pptpclient-doc-1.10.0-r6.apk
2025-06-30 09:25
7.2K
pptpclient-1.10.0-r6.apk
2025-06-30 09:25
33K
powerstat-doc-0.04.01-r0.apk
2024-10-25 21:10
4.3K
powerstat-bash-completion-0.04.01-r0.apk
2024-10-25 21:10
2.3K
powerstat-0.04.01-r0.apk
2024-10-25 21:10
19K
powerctl-doc-1.1-r6.apk
2025-04-18 18:34
3.2K
powerctl-1.1-r6.apk
2025-04-18 18:34
116K
powder-toy-97.0.352-r1.apk
2024-10-25 21:10
805K
pounce-openrc-3.1-r4.apk
2025-09-13 03:00
2.7K
pounce-doc-3.1-r4.apk
2025-09-13 03:00
8.5K
pounce-3.1-r4.apk
2025-09-13 03:00
30K
postgresql16-wal2json-2.6-r0.apk
2024-10-25 21:10
68K
postgresql-pgmq-1.1.1-r1.apk
2024-10-25 21:10
245K
postgresql-pg_variables-bitcode-1.2.5_git20230922-r1.apk
2025-11-03 17:17
47K
postgresql-pg_variables-1.2.5_git20230922-r1.apk
2025-11-03 17:17
23K
postgresql-pg_later-0.0.14-r1.apk
2024-10-25 21:10
583K
postgresql-hll-bitcode-2.18-r0.apk
2024-10-25 21:10
55K
postgresql-hll-2.18-r0.apk
2024-10-25 21:10
26K
portsmf-dev-239-r2.apk
2025-10-13 22:02
20K
portsmf-239-r2.apk
2025-10-13 22:02
57K
porla-openrc-0.41.0-r2.apk
2025-02-24 16:36
2.7K
porla-doc-0.41.0-r2.apk
2025-02-24 16:36
2.2K
porla-0.41.0-r2.apk
2025-02-24 16:36
3.9M
popeye-0.22.1-r10.apk
2026-01-17 23:42
26M
pop-icon-theme-3.5.1-r0.apk
2025-03-26 12:51
1.3M
pop-cursor-theme-3.5.1-r0.apk
2025-03-26 12:51
13M
pongoos-loader-0_git20210704-r1.apk
2024-10-25 21:10
2.4K
pomo-doc-0.8.1-r29.apk
2026-01-17 23:42
2.7K
pomo-0.8.1-r29.apk
2026-01-17 23:42
1.6M
polyglot-doc-2.0.4-r2.apk
2026-01-23 20:17
47K
polyglot-2.0.4-r2.apk
2026-01-23 20:17
64K
polycule-0.3.4-r0.apk
2025-11-17 00:39
29M
policycoreutils-lang-3.6-r1.apk
2024-10-25 21:10
105K
policycoreutils-doc-3.6-r1.apk
2024-10-25 21:10
22K
policycoreutils-bash-completion-3.6-r1.apk
2024-10-25 21:10
2.4K
policycoreutils-3.6-r1.apk
2024-10-25 21:10
67K
pokoy-doc-0.2.5-r0.apk
2024-10-25 21:10
3.0K
pokoy-0.2.5-r0.apk
2024-10-25 21:10
9.3K
pnmixer-lang-0.7.2-r4.apk
2026-01-17 23:42
25K
pnmixer-doc-0.7.2-r4.apk
2026-01-17 23:42
2.3K
pnmixer-0.7.2-r4.apk
2026-01-17 23:42
139K
pneink-theme-doc-1.3-r0.apk
2025-07-30 02:32
1.7K
pneink-theme-1.3-r0.apk
2025-07-30 02:32
9.8K
pmccabe-doc-2.8-r1.apk
2024-10-25 21:10
7.1K
pmccabe-2.8-r1.apk
2024-10-25 21:10
25K
plplot-libs-5.15.0-r2.apk
2024-10-25 21:10
192K
plplot-doc-5.15.0-r2.apk
2024-10-25 21:10
311K
plplot-dev-5.15.0-r2.apk
2024-10-25 21:10
59K
plplot-5.15.0-r2.apk
2024-10-25 21:10
31K
plots-0.7.0-r1.apk
2024-11-06 10:41
516K
plib-1.8.5-r3.apk
2024-10-25 21:10
878K
please-doc-0.5.6-r0.apk
2025-08-29 10:10
16K
please-build-zsh-completion-17.22.0-r2.apk
2026-01-17 23:42
1.9K
please-build-tools-17.22.0-r2.apk
2026-01-17 23:42
10M
please-build-bash-completion-17.22.0-r2.apk
2026-01-17 23:42
1.9K
please-build-17.22.0-r2.apk
2026-01-17 23:42
6.9M
please-0.5.6-r0.apk
2025-08-29 10:10
959K
platformio-core-pyc-6.1.7-r3.apk
2024-10-25 21:10
552K
platformio-core-6.1.7-r3.apk
2024-10-25 21:10
263K
planner-lang-0.14.92-r1.apk
2024-12-08 22:43
825K
planner-doc-0.14.92-r1.apk
2024-12-08 22:43
2.2K
planner-0.14.92-r1.apk
2024-12-08 22:43
351K
plakar-doc-1.0.6-r2.apk
2026-01-17 23:42
2.1K
plakar-1.0.6-r2.apk
2026-01-17 23:42
18M
pixiewps-doc-1.4.2-r2.apk
2025-05-14 00:00
3.4K
pixiewps-1.4.2-r2.apk
2025-05-14 00:00
50K
pixi-zsh-completion-0.24.2-r0.apk
2024-10-25 21:10
10K
pixi-fish-completion-0.24.2-r0.apk
2024-10-25 21:10
10K
pixi-doc-0.24.2-r0.apk
2024-10-25 21:10
6.9K
pixi-bash-completion-0.24.2-r0.apk
2024-10-25 21:10
7.2K
pixi-0.24.2-r0.apk
2024-10-25 21:10
9.1M
pitivi-pyc-2023.03-r2.apk
2024-12-23 02:15
700K
pitivi-lang-2023.03-r2.apk
2024-12-23 02:15
678K
pitivi-2023.03-r2.apk
2024-12-23 02:15
2.7M
pithos-pyc-1.6.1-r0.apk
2024-10-25 21:10
154K
pithos-doc-1.6.1-r0.apk
2024-10-25 21:10
2.1K
pithos-1.6.1-r0.apk
2024-10-25 21:10
104K
piping-server-openrc-0.18.0-r0.apk
2024-10-25 21:10
1.8K
piping-server-0.18.0-r0.apk
2024-10-25 21:10
1.4M
piper-tts-dev-2023.11.14.2-r14.apk
2025-07-12 18:01
141K
piper-tts-2023.11.14.2-r14.apk
2025-07-12 18:01
127K
piper-phonemize-libs-2023.11.14.4-r9.apk
2025-07-12 18:01
68K
piper-phonemize-dev-2023.11.14.4-r9.apk
2025-07-12 18:01
394K
piper-phonemize-2023.11.14.4-r9.apk
2025-07-12 18:01
9.0M
pipeleek-doc-0.52.0-r0.apk
2026-01-23 16:23
13K
pipeleek-0.52.0-r0.apk
2026-01-23 16:23
17M
pinephone-compass-0.4.0-r1.apk
2024-10-25 21:10
18K
pinephone-call-audio-0.1-r0.apk
2024-10-25 21:10
7.3K
pinentry-bemenu-0.14.0-r1.apk
2025-07-06 02:16
8.8K
pimd-openrc-3.0_git20220201-r0.apk
2024-10-25 21:10
1.6K
pimd-doc-3.0_git20220201-r0.apk
2024-10-25 21:10
35K
pimd-dense-openrc-2.1.0-r0.apk
2024-10-25 21:10
1.8K
pimd-dense-doc-2.1.0-r0.apk
2024-10-25 21:10
20K
pimd-dense-2.1.0-r0.apk
2024-10-25 21:10
53K
pimd-3.0_git20220201-r0.apk
2024-10-25 21:10
84K
piler-openrc-1.4.7-r0.apk
2025-01-16 11:12
2.2K
piler-1.4.7-r0.apk
2025-01-16 11:12
2.1M
pikchr-cmd-doc-1.0.0-r0.apk
2025-10-25 11:52
2.2K
pikchr-cmd-1.0.0-r0.apk
2025-10-25 11:52
45K
pihole-openrc-6.2.3-r0.apk
2025-06-20 20:05
1.8K
pihole-doc-6.2.3-r0.apk
2025-06-20 20:05
3.8K
pihole-bash-completion-6.2.3-r0.apk
2025-06-20 20:05
2.2K
pihole-6.2.3-r0.apk
2025-06-20 20:05
5.5M
pigpio-openrc-79-r4.apk
2024-10-25 21:10
1.6K
pigpio-doc-79-r4.apk
2024-10-25 21:10
115K
pigpio-dev-79-r4.apk
2024-10-25 21:10
91K
pigpio-79-r4.apk
2024-10-25 21:10
220K
piglit-0_git20241106-r1.apk
2025-05-14 00:00
92M
pidif-0.1-r1.apk
2024-10-25 21:10
154K
pict-rs-openrc-0.5.19-r1.apk
2025-10-14 16:31
1.9K
pict-rs-0.5.19-r1.apk
2025-10-14 16:31
5.5M
pick-doc-4.0.0-r0.apk
2024-10-25 21:10
3.3K
pick-4.0.0-r0.apk
2024-10-25 21:10
10K
phpactor-2025.10.17.0-r0.apk
2025-11-19 04:57
3.6M
php85-snappy-0.2.3-r0.apk
2025-12-25 01:03
5.5K
php85-pecl-vld-0.19.1-r1.apk
2025-09-24 15:21
16K
php85-pecl-solr-2.9.1-r0.apk
2025-11-25 06:10
87K
php85-pecl-runkit7-4.0.0_alpha6-r0.apk
2025-12-30 22:15
32K
php85-pecl-oauth-2.0.10-r0.apk
2025-10-09 20:37
36K
php85-pecl-luasandbox-4.1.3-r0.apk
2025-12-20 12:45
31K
php85-pecl-ev-1.2.2-r0.apk
2025-11-08 02:19
41K
php84-snappy-0.2.3-r0.apk
2025-04-09 20:19
5.5K
php84-pecl-vld-0.19.1-r0.apk
2025-07-23 06:04
16K
php84-pecl-uv-0.3.0-r0.apk
2024-10-28 13:47
51K
php84-pecl-solr-2.9.1-r0.apk
2025-11-25 06:10
87K
php84-pecl-runkit7-4.0.0_alpha6-r0.apk
2025-12-30 22:15
32K
php84-pecl-phpy-1.0.11-r1.apk
2025-05-14 00:00
42K
php84-pecl-oauth-2.0.10-r0.apk
2025-10-09 20:37
36K
php84-pecl-memprof-3.1.0-r0.apk
2025-02-24 18:23
14K
php84-pecl-mcrypt-1.0.9-r0.apk
2025-08-05 14:42
15K
php84-pecl-ev-1.2.2-r0.apk
2025-11-08 02:19
41K
php84-pecl-csv-0.4.3-r0.apk
2025-02-25 16:45
10K
php83-pecl-zmq-1.1.4-r0.apk
2024-10-25 21:10
32K
php83-pecl-vld-0.19.1-r0.apk
2025-07-23 06:04
16K
php83-pecl-uv-0.3.0-r0.apk
2024-10-25 21:10
51K
php83-pecl-phpy-1.0.11-r1.apk
2025-05-14 00:00
42K
php83-pecl-oauth-2.0.10-r0.apk
2025-10-09 20:37
36K
php83-pecl-jsmin-3.0.0-r0.apk
2024-10-25 21:10
11K
php83-pecl-excimer-1.2.5-r0.apk
2025-05-20 17:42
21K
php83-pecl-ev-1.2.2-r0.apk
2025-11-08 02:19
41K
php83-pecl-eio-3.1.4-r0.apk
2025-07-23 06:04
28K
php83-pecl-apfd-1.0.3-r0.apk
2024-10-25 21:10
4.7K
php82-zip-8.2.30-r2.apk
2026-01-14 05:08
27K
php82-xsl-8.2.30-r2.apk
2026-01-14 05:08
13K
php82-xmlwriter-8.2.30-r2.apk
2026-01-14 05:08
11K
php82-xmlreader-8.2.30-r2.apk
2026-01-14 05:08
14K
php82-xml-8.2.30-r2.apk
2026-01-14 05:08
19K
php82-tokenizer-8.2.30-r2.apk
2026-01-14 05:08
12K
php82-tidy-8.2.30-r2.apk
2026-01-14 05:08
19K
php82-sysvshm-8.2.30-r2.apk
2026-01-14 05:08
7.0K
php82-sysvsem-8.2.30-r2.apk
2026-01-14 05:08
6.0K
php82-sysvmsg-8.2.30-r2.apk
2026-01-14 05:08
8.1K
php82-sqlite3-8.2.30-r2.apk
2026-01-14 05:08
22K
php82-spx-0.4.21-r0.apk
2025-10-24 04:09
107K
php82-sodium-8.2.30-r2.apk
2026-01-14 05:08
26K
php82-sockets-8.2.30-r2.apk
2026-01-14 05:08
36K
php82-soap-8.2.30-r2.apk
2026-01-14 05:08
128K
php82-snmp-8.2.30-r2.apk
2026-01-14 05:08
21K
php82-snappy-0.2.3-r0.apk
2025-04-09 20:19
5.5K
php82-simplexml-8.2.30-r2.apk
2026-01-14 05:08
22K
php82-shmop-8.2.30-r2.apk
2026-01-14 05:08
6.4K
php82-session-8.2.30-r2.apk
2026-01-14 05:08
36K
php82-pspell-8.2.30-r2.apk
2026-01-14 05:08
8.2K
php82-posix-8.2.30-r2.apk
2026-01-14 05:08
11K
php82-phpdbg-8.2.30-r2.apk
2026-01-14 05:08
1.8M
php82-phar-8.2.30-r2.apk
2026-01-14 05:08
117K
php82-pgsql-8.2.30-r2.apk
2026-01-14 05:08
43K
php82-pecl-zstd-0.15.2-r0.apk
2025-10-24 04:09
17K
php82-pecl-zephir_parser-1.8.0-r0.apk
2025-09-29 14:34
57K
php82-pecl-yaml-2.3.0-r0.apk
2025-11-17 00:39
19K
php82-pecl-xlswriter-1.5.8-r0.apk
2025-10-24 04:09
221K
php82-pecl-xhprof-assets-2.3.10-r0.apk
2025-10-24 04:09
800K
php82-pecl-xhprof-2.3.10-r0.apk
2025-10-24 04:09
13K
php82-pecl-xdebug-3.5.0-r0.apk
2025-12-04 23:56
152K
php82-pecl-vld-0.19.1-r0.apk
2025-07-23 06:04
16K
php82-pecl-vips-1.0.13-r0.apk
2025-10-24 04:09
16K
php82-pecl-uuid-1.3.0-r0.apk
2025-10-24 04:09
6.8K
php82-pecl-uploadprogress-doc-2.0.2-r2.apk
2025-10-24 04:09
9.6K
php82-pecl-uploadprogress-2.0.2-r2.apk
2025-10-24 04:09
6.8K
php82-pecl-timezonedb-2025.2-r0.apk
2025-10-24 04:09
189K
php82-pecl-teds-1.3.0-r0.apk
2024-10-25 21:10
128K
php82-pecl-swoole-dev-6.1.6-r0.apk
2025-12-28 21:30
220K
php82-pecl-swoole-6.1.6-r0.apk
2025-12-28 21:30
916K
php82-pecl-ssh2-1.4.1-r0.apk
2025-10-24 04:09
27K
php82-pecl-smbclient-1.2.0_pre-r0.apk
2025-10-24 04:09
21K
php82-pecl-runkit7-4.0.0_alpha6-r1.apk
2024-10-25 21:10
31K
php82-pecl-redis-6.3.0-r0.apk
2025-11-07 17:49
184K
php82-pecl-rdkafka-6.0.5-r0.apk
2025-10-24 04:09
37K
php82-pecl-psr-1.2.0-r1.apk
2025-10-24 04:09
17K
php82-pecl-protobuf-4.33.4-r0.apk
2026-01-15 07:34
147K
php82-pecl-pcov-1.0.12-r0.apk
2025-10-24 04:09
10K
php82-pecl-opentelemetry-1.2.1-r0.apk
2025-10-24 04:09
13K
php82-pecl-oauth-2.0.10-r0.apk
2025-10-09 20:37
36K
php82-pecl-msgpack-3.0.0-r0.apk
2025-10-24 04:09
27K
php82-pecl-mongodb-2.1.4-r0.apk
2025-10-24 04:09
819K
php82-pecl-memcached-3.4.0-r0.apk
2025-10-24 04:09
46K
php82-pecl-memcache-8.2-r2.apk
2025-10-24 04:09
44K
php82-pecl-mcrypt-1.0.9-r0.apk
2025-10-24 04:09
15K
php82-pecl-maxminddb-1.13.0-r0.apk
2025-11-21 01:42
8.5K
php82-pecl-mailparse-3.1.9-r0.apk
2025-10-24 04:09
24K
php82-pecl-lzf-1.7.0-r0.apk
2025-10-24 04:09
7.6K
php82-pecl-luasandbox-4.1.2-r0.apk
2025-10-24 04:09
30K
php82-pecl-jsmin-3.0.0-r0.apk
2024-10-25 21:10
11K
php82-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 21:10
40K
php82-pecl-imagick-dev-3.8.1-r0.apk
2025-11-28 01:05
2.3K
php82-pecl-imagick-3.8.1-r0.apk
2025-11-28 01:05
105K
php82-pecl-igbinary-3.2.17_rc1-r0.apk
2025-11-27 22:26
33K
php82-pecl-grpc-1.76.0-r0.apk
2025-10-24 21:24
4.1M
php82-pecl-excimer-1.2.5-r0.apk
2025-05-20 17:42
21K
php82-pecl-event-3.1.4-r0.apk
2025-10-24 04:09
49K
php82-pecl-ds-1.6.0-r0.apk
2025-10-24 04:09
58K
php82-pecl-decimal-1.5.0-r1.apk
2025-10-24 04:09
18K
php82-pecl-couchbase-4.3.0-r0.apk
2025-10-24 03:33
4.6M
php82-pecl-brotli-0.18.3-r0.apk
2025-12-01 03:45
15K
php82-pecl-ast-1.1.3-r0.apk
2025-10-24 04:09
21K
php82-pecl-apfd-1.0.3-r0.apk
2024-10-25 21:10
4.7K
php82-pecl-apcu-5.1.28-r0.apk
2025-12-07 18:21
56K
php82-pecl-amqp-2.2.0-r0.apk
2026-01-03 04:41
54K
php82-pear-8.2.30-r2.apk
2026-01-14 05:08
338K
php82-pdo_sqlite-8.2.30-r2.apk
2026-01-14 05:08
13K
php82-pdo_pgsql-8.2.30-r2.apk
2026-01-14 05:08
19K
php82-pdo_odbc-8.2.30-r2.apk
2026-01-14 05:08
13K
php82-pdo_mysql-8.2.30-r2.apk
2026-01-14 05:08
13K
php82-pdo_dblib-8.2.30-r2.apk
2026-01-14 05:08
13K
php82-pdo-8.2.30-r2.apk
2026-01-14 05:08
42K
php82-pdlib-1.1.0-r2.apk
2026-01-17 23:42
475K
php82-pcntl-8.2.30-r2.apk
2026-01-14 05:08
15K
php82-openssl-8.2.30-r2.apk
2026-01-14 05:08
69K
php82-opcache-8.2.30-r2.apk
2026-01-14 05:08
508K
php82-odbc-8.2.30-r2.apk
2026-01-14 05:08
23K
php82-mysqlnd-8.2.30-r2.apk
2026-01-14 05:08
74K
php82-mysqli-8.2.30-r2.apk
2026-01-14 05:08
40K
php82-mbstring-8.2.30-r2.apk
2026-01-14 05:08
623K
php82-litespeed-8.2.30-r2.apk
2026-01-14 05:08
1.7M
php82-ldap-8.2.30-r2.apk
2026-01-14 05:08
31K
php82-intl-8.2.30-r2.apk
2026-01-14 05:08
149K
php82-imap-8.2.30-r2.apk
2026-01-14 05:08
32K
php82-iconv-8.2.30-r2.apk
2026-01-14 05:08
17K
php82-gmp-8.2.30-r2.apk
2026-01-14 05:08
21K
php82-gettext-8.2.30-r2.apk
2026-01-14 05:08
6.1K
php82-gd-8.2.30-r2.apk
2026-01-14 05:08
122K
php82-ftp-8.2.30-r2.apk
2026-01-14 05:08
22K
php82-fpm-8.2.30-r2.apk
2026-01-14 05:08
1.8M
php82-fileinfo-8.2.30-r2.apk
2026-01-14 05:08
377K
php82-ffi-8.2.30-r2.apk
2026-01-14 05:08
74K
php82-exif-8.2.30-r2.apk
2026-01-14 05:08
29K
php82-enchant-8.2.30-r2.apk
2026-01-14 05:08
8.7K
php82-embed-8.2.30-r2.apk
2026-01-14 05:08
1.7M
php82-dom-8.2.30-r2.apk
2026-01-14 05:08
60K
php82-doc-8.2.30-r2.apk
2026-01-14 05:08
73K
php82-dev-8.2.30-r2.apk
2026-01-14 05:08
968K
php82-dbg-8.2.30-r2.apk
2026-01-14 05:08
43M
php82-dba-8.2.30-r2.apk
2026-01-14 05:08
22K
php82-curl-8.2.30-r2.apk
2026-01-14 05:08
38K
php82-ctype-8.2.30-r2.apk
2026-01-14 05:08
5.1K
php82-common-8.2.30-r2.apk
2026-01-14 05:08
25K
php82-cgi-8.2.30-r2.apk
2026-01-14 05:08
1.7M
php82-calendar-8.2.30-r2.apk
2026-01-14 05:08
13K
php82-bz2-8.2.30-r2.apk
2026-01-14 05:08
10K
php82-bcmath-8.2.30-r2.apk
2026-01-14 05:08
16K
php82-apache2-8.2.30-r2.apk
2026-01-14 05:08
1.7M
php82-8.2.30-r2.apk
2026-01-14 05:08
1.7M
php81-zip-8.1.34-r1.apk
2026-01-14 05:08
25K
php81-xsl-8.1.34-r1.apk
2026-01-14 05:08
13K
php81-xmlwriter-8.1.34-r1.apk
2026-01-14 05:08
11K
php81-xmlreader-8.1.34-r1.apk
2026-01-14 05:08
13K
php81-xml-8.1.34-r1.apk
2026-01-14 05:08
19K
php81-tokenizer-8.1.34-r1.apk
2026-01-14 05:08
12K
php81-tidy-8.1.34-r1.apk
2026-01-14 05:08
19K
php81-tideways_xhprof-5.0.4-r1.apk
2024-10-25 21:10
13K
php81-sysvshm-8.1.34-r1.apk
2026-01-14 05:08
7.0K
php81-sysvsem-8.1.34-r1.apk
2026-01-14 05:08
6.0K
php81-sysvmsg-8.1.34-r1.apk
2026-01-14 05:08
7.8K
php81-sqlite3-8.1.34-r1.apk
2026-01-14 05:08
21K
php81-spx-0.4.21-r0.apk
2025-10-09 19:38
107K
php81-sodium-8.1.34-r1.apk
2026-01-14 05:08
25K
php81-sockets-8.1.34-r1.apk
2026-01-14 05:08
36K
php81-soap-8.1.34-r1.apk
2026-01-14 05:08
126K
php81-snmp-8.1.34-r1.apk
2026-01-14 05:08
20K
php81-simplexml-8.1.34-r1.apk
2026-01-14 05:08
22K
php81-shmop-8.1.34-r1.apk
2026-01-14 05:08
6.4K
php81-session-8.1.34-r1.apk
2026-01-14 05:08
35K
php81-pspell-8.1.34-r1.apk
2026-01-14 05:08
8.2K
php81-posix-8.1.34-r1.apk
2026-01-14 05:08
11K
php81-phpdbg-8.1.34-r1.apk
2026-01-14 05:08
1.7M
php81-phar-8.1.34-r1.apk
2026-01-14 05:08
117K
php81-pgsql-8.1.34-r1.apk
2026-01-14 05:08
43K
php81-pecl-zstd-0.15.2-r0.apk
2025-09-09 21:05
17K
php81-pecl-zephir_parser-1.8.0-r0.apk
2025-09-29 14:34
57K
php81-pecl-yaml-2.3.0-r0.apk
2025-11-17 00:39
19K
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk
2024-10-25 21:10
37K
php81-pecl-xlswriter-1.5.8-r0.apk
2024-11-11 02:44
222K
php81-pecl-xhprof-assets-2.3.10-r0.apk
2024-10-25 21:10
801K
php81-pecl-xhprof-2.3.10-r0.apk
2024-10-25 21:10
13K
php81-pecl-xdebug-3.5.0-r0.apk
2025-12-04 23:56
151K
php81-pecl-uuid-1.3.0-r0.apk
2025-05-14 00:00
6.8K
php81-pecl-uploadprogress-doc-2.0.2-r1.apk
2024-10-25 21:10
9.9K
php81-pecl-uploadprogress-2.0.2-r1.apk
2024-10-25 21:10
6.9K
php81-pecl-timezonedb-2025.2-r0.apk
2025-03-27 12:06
189K
php81-pecl-swoole-dev-6.1.6-r0.apk
2025-12-28 21:30
220K
php81-pecl-swoole-6.1.6-r0.apk
2025-12-28 21:30
913K
php81-pecl-ssh2-1.4.1-r0.apk
2024-10-25 21:10
29K
php81-pecl-smbclient-1.2.0_pre-r0.apk
2024-12-10 20:01
21K
php81-pecl-redis-6.3.0-r0.apk
2025-11-07 17:49
182K
php81-pecl-rdkafka-6.0.5-r0.apk
2024-11-04 13:52
37K
php81-pecl-psr-1.2.0-r0.apk
2024-10-25 21:10
19K
php81-pecl-protobuf-4.33.4-r0.apk
2026-01-15 07:34
147K
php81-pecl-pcov-1.0.12-r0.apk
2024-12-04 18:17
9.8K
php81-pecl-opentelemetry-1.2.1-r0.apk
2025-10-09 19:38
13K
php81-pecl-oauth-2.0.10-r0.apk
2025-10-09 20:37
36K
php81-pecl-msgpack-3.0.0-r0.apk
2024-10-25 21:10
27K
php81-pecl-mongodb-2.1.4-r0.apk
2025-10-09 19:38
819K
php81-pecl-memprof-3.1.0-r0.apk
2025-02-24 18:23
14K
php81-pecl-memcached-3.4.0-r0.apk
2025-10-13 10:36
46K
php81-pecl-memcache-8.2-r1.apk
2024-10-25 21:10
45K
php81-pecl-mcrypt-1.0.9-r0.apk
2025-08-05 14:42
15K
php81-pecl-maxminddb-1.13.0-r0.apk
2025-11-21 01:42
8.5K
php81-pecl-mailparse-3.1.9-r0.apk
2025-09-30 14:38
24K
php81-pecl-lzf-1.7.0-r0.apk
2024-10-25 21:10
7.7K
php81-pecl-luasandbox-4.1.2-r0.apk
2024-10-25 21:10
31K
php81-pecl-jsmin-3.0.0-r0.apk
2024-10-25 21:10
11K
php81-pecl-immutable_cache-6.1.0-r0.apk
2024-10-25 21:10
40K
php81-pecl-imagick-dev-3.8.1-r0.apk
2025-11-28 01:05
2.3K
php81-pecl-imagick-3.8.1-r0.apk
2025-11-28 01:05
105K
php81-pecl-igbinary-3.2.17_rc1-r0.apk
2025-11-27 22:26
32K
php81-pecl-grpc-1.76.0-r0.apk
2025-10-24 21:24
4.1M
php81-pecl-event-3.1.4-r0.apk
2024-10-25 21:10
52K
php81-pecl-ds-1.6.0-r0.apk
2025-05-07 15:16
58K
php81-pecl-decimal-1.5.0-r1.apk
2024-10-25 21:10
19K
php81-pecl-csv-0.4.3-r0.apk
2025-02-25 16:45
10K
php81-pecl-couchbase-4.3.0-r0.apk
2025-06-15 06:00
4.7M
php81-pecl-brotli-0.18.3-r0.apk
2025-12-01 03:45
15K
php81-pecl-ast-1.1.3-r0.apk
2025-08-10 19:43
21K
php81-pecl-apcu-5.1.28-r0.apk
2025-12-07 18:21
56K
php81-pecl-amqp-2.2.0-r0.apk
2026-01-03 04:41
54K
php81-pear-8.1.34-r1.apk
2026-01-14 05:08
338K
php81-pdo_sqlite-8.1.34-r1.apk
2026-01-14 05:08
13K
php81-pdo_pgsql-8.1.34-r1.apk
2026-01-14 05:08
19K
php81-pdo_odbc-8.1.34-r1.apk
2026-01-14 05:08
13K
php81-pdo_mysql-8.1.34-r1.apk
2026-01-14 05:08
13K
php81-pdo_dblib-8.1.34-r1.apk
2026-01-14 05:08
13K
php81-pdo-8.1.34-r1.apk
2026-01-14 05:08
40K
php81-pcntl-8.1.34-r1.apk
2026-01-14 05:08
13K
php81-openssl-8.1.34-r1.apk
2026-01-14 05:08
67K
php81-opcache-8.1.34-r1.apk
2026-01-14 05:08
508K
php81-odbc-8.1.34-r1.apk
2026-01-14 05:08
22K
php81-mysqlnd-8.1.34-r1.apk
2026-01-14 05:08
74K
php81-mysqli-8.1.34-r1.apk
2026-01-14 05:08
39K
php81-mbstring-8.1.34-r1.apk
2026-01-14 05:08
566K
php81-litespeed-8.1.34-r1.apk
2026-01-14 05:08
1.7M
php81-ldap-8.1.34-r1.apk
2026-01-14 05:08
30K
php81-intl-8.1.34-r1.apk
2026-01-14 05:08
133K
php81-imap-8.1.34-r1.apk
2026-01-14 05:08
32K
php81-iconv-8.1.34-r1.apk
2026-01-14 05:08
17K
php81-gmp-8.1.34-r1.apk
2026-01-14 05:08
20K
php81-gettext-8.1.34-r1.apk
2026-01-14 05:08
6.0K
php81-gd-8.1.34-r1.apk
2026-01-14 05:08
123K
php81-ftp-8.1.34-r1.apk
2026-01-14 05:08
22K
php81-fpm-8.1.34-r1.apk
2026-01-14 05:08
1.7M
php81-fileinfo-8.1.34-r1.apk
2026-01-14 05:08
376K
php81-ffi-8.1.34-r1.apk
2026-01-14 05:08
72K
php81-exif-8.1.34-r1.apk
2026-01-14 05:08
29K
php81-enchant-8.1.34-r1.apk
2026-01-14 05:08
8.8K
php81-embed-8.1.34-r1.apk
2026-01-14 05:08
1.7M
php81-dom-8.1.34-r1.apk
2026-01-14 05:08
59K
php81-doc-8.1.34-r1.apk
2026-01-14 05:08
68K
php81-dev-8.1.34-r1.apk
2026-01-14 05:08
939K
php81-dba-8.1.34-r1.apk
2026-01-14 05:08
22K
php81-curl-8.1.34-r1.apk
2026-01-14 05:08
36K
php81-ctype-8.1.34-r1.apk
2026-01-14 05:08
5.1K
php81-common-8.1.34-r1.apk
2026-01-14 05:08
25K
php81-cgi-8.1.34-r1.apk
2026-01-14 05:08
1.7M
php81-calendar-8.1.34-r1.apk
2026-01-14 05:08
13K
php81-bz2-8.1.34-r1.apk
2026-01-14 05:08
10K
php81-bcmath-8.1.34-r1.apk
2026-01-14 05:08
16K
php81-apache2-8.1.34-r1.apk
2026-01-14 05:08
1.7M
php81-8.1.34-r1.apk
2026-01-14 05:08
1.7M
phosh-tour-systemd-0.52.0-r0.apk
2026-01-04 17:13
1.8K
phosh-tour-lang-0.52.0-r0.apk
2026-01-04 17:13
36K
phosh-tour-0.52.0-r0.apk
2026-01-04 17:13
39K
phosh-osk-data-uk-0.42.0-r0.apk
2025-12-16 17:45
16M
phosh-osk-data-se-0.42.0-r0.apk
2025-12-16 17:45
15M
phosh-osk-data-ru-0.42.0-r0.apk
2025-12-16 17:45
20M
phosh-osk-data-pt-0.42.0-r0.apk
2025-12-16 17:45
57M
phosh-osk-data-pl-0.42.0-r0.apk
2025-12-16 17:45
57M
phosh-osk-data-nl-0.42.0-r0.apk
2025-12-16 17:45
54M
phosh-osk-data-it-0.42.0-r0.apk
2025-12-16 17:45
58M
phosh-osk-data-fi-0.42.0-r0.apk
2025-12-16 17:45
64M
phosh-osk-data-es-0.42.0-r0.apk
2025-12-16 17:45
52M
phosh-osk-data-de-0.42.0-r0.apk
2025-12-16 17:45
64M
phosh-osk-data-0.42.0-r0.apk
2025-12-16 17:45
1.3K
phoronix-test-suite-doc-10.8.4-r2.apk
2024-10-25 21:10
287K
phoronix-test-suite-bash-completion-10.8.4-r2.apk
2024-10-25 21:10
1.8K
phoronix-test-suite-10.8.4-r2.apk
2024-10-25 21:10
3.9M
pgcat-openrc-1.2.0-r1.apk
2025-01-01 18:38
1.9K
pgcat-1.2.0-r1.apk
2025-01-01 18:38
2.4M
pfetch-doc-1.9.4-r0.apk
2025-10-22 16:29
5.7K
pfetch-1.9.4-r0.apk
2025-10-22 16:29
23K
petitboot-doc-1.15-r1.apk
2026-01-15 05:57
8.1K
petitboot-dbg-1.15-r1.apk
2026-01-15 05:57
601K
petitboot-1.15-r1.apk
2026-01-15 05:57
199K
pest-language-server-0.3.9-r0.apk
2024-10-25 21:10
1.0M
persistent-cache-cpp-doc-1.0.9-r0.apk
2025-09-08 03:00
3.2K
persistent-cache-cpp-dev-1.0.9-r0.apk
2025-09-08 03:00
18K
persistent-cache-cpp-1.0.9-r0.apk
2025-09-08 03:00
44K
perl-xml-xpathengine-doc-0.14-r0.apk
2025-07-08 18:54
11K
perl-xml-xpathengine-0.14-r0.apk
2025-07-08 18:54
22K
perl-xml-rpc-doc-2.1-r0.apk
2024-10-25 21:10
4.9K
perl-xml-rpc-2.1-r0.apk
2024-10-25 21:10
5.7K
perl-xml-bare-doc-0.53-r14.apk
2025-06-30 09:25
11K
perl-xml-bare-0.53-r14.apk
2025-06-30 09:25
28K
perl-web-scraper-doc-0.38-r0.apk
2025-07-12 08:30
8.1K
perl-web-scraper-0.38-r0.apk
2025-07-12 08:30
7.5K
perl-web-machine-doc-0.17-r0.apk
2025-06-13 20:17
29K
perl-web-machine-0.17-r0.apk
2025-06-13 20:17
20K
perl-url-encode-doc-0.03-r4.apk
2024-10-25 21:10
4.7K
perl-url-encode-0.03-r4.apk
2024-10-25 21:10
5.2K
perl-uri-ws-doc-0.03-r0.apk
2025-03-26 12:51
4.3K
perl-uri-ws-0.03-r0.apk
2025-03-26 12:51
2.3K
perl-uri-find-doc-20160806-r0.apk
2025-03-26 12:51
9.1K
perl-uri-find-20160806-r0.apk
2025-03-26 12:51
14K
perl-tree-simple-visitorfactory-doc-0.16-r0.apk
2025-03-26 12:51
46K
perl-tree-simple-visitorfactory-0.16-r0.apk
2025-03-26 12:51
20K
perl-tie-toobject-doc-0.03-r0.apk
2025-03-26 12:51
3.2K
perl-tie-toobject-0.03-r0.apk
2025-03-26 12:51
2.6K
perl-throwable-doc-1.001-r1.apk
2024-10-25 21:10
8.0K
perl-throwable-1.001-r1.apk
2024-10-25 21:10
6.2K
perl-text-worddiff-doc-0.09-r0.apk
2025-06-09 18:31
14K
perl-text-worddiff-0.09-r0.apk
2025-06-09 18:31
10K
perl-text-simpletable-doc-2.07-r0.apk
2025-03-26 12:51
3.5K
perl-text-simpletable-2.07-r0.apk
2025-03-26 12:51
4.5K
perl-text-german-doc-0.06-r0.apk
2025-06-07 04:00
3.0K
perl-text-german-0.06-r0.apk
2025-06-07 04:00
13K
perl-text-brew-doc-0.02-r5.apk
2024-10-25 21:10
4.1K
perl-text-brew-0.02-r5.apk
2024-10-25 21:10
4.5K
perl-test-www-mechanize-doc-1.60-r0.apk
2025-04-13 22:28
10K
perl-test-www-mechanize-catalyst-doc-0.62-r0.apk
2025-04-20 06:32
6.2K
perl-test-www-mechanize-catalyst-0.62-r0.apk
2025-04-20 06:32
7.4K
perl-test-www-mechanize-1.60-r0.apk
2025-04-13 22:28
15K
perl-test-utf8-doc-1.03-r0.apk
2024-11-20 01:45
4.9K
perl-test-utf8-1.03-r0.apk
2024-11-20 01:45
5.6K
perl-test-trap-doc-0.3.5-r1.apk
2024-10-25 21:10
20K
perl-test-trap-0.3.5-r1.apk
2024-10-25 21:10
20K
perl-test-roo-doc-1.004-r3.apk
2024-10-25 21:10
15K
perl-test-roo-1.004-r3.apk
2024-10-25 21:10
12K
perl-test-perl-critic-doc-1.04-r0.apk
2025-07-12 08:30
6.4K
perl-test-perl-critic-1.04-r0.apk
2025-07-12 08:30
6.8K
perl-test-modern-doc-0.013-r3.apk
2024-10-25 21:10
9.9K
perl-test-modern-0.013-r3.apk
2024-10-25 21:10
15K
perl-test-kwalitee-doc-1.28-r0.apk
2025-07-12 15:43
6.9K
perl-test-kwalitee-1.28-r0.apk
2025-07-12 15:43
6.4K
perl-test-file-doc-1.995-r0.apk
2025-04-19 19:55
6.8K
perl-test-file-1.995-r0.apk
2025-04-19 19:55
11K
perl-test-expect-doc-0.34-r0.apk
2025-05-03 22:03
3.6K
perl-test-expect-0.34-r0.apk
2025-05-03 22:03
3.5K
perl-test-distribution-doc-2.00-r1.apk
2024-10-25 21:10
6.1K
perl-test-distribution-2.00-r1.apk
2024-10-25 21:10
7.8K
perl-test-api-doc-0.010-r2.apk
2024-10-25 21:10
4.2K
perl-test-api-0.010-r2.apk
2024-10-25 21:10
5.1K
perl-term-ui-doc-0.50-r1.apk
2024-10-25 21:10
8.5K
perl-term-ui-0.50-r1.apk
2024-10-25 21:10
10K
perl-term-size-doc-0.211-r5.apk
2025-06-30 09:25
3.8K
perl-term-size-0.211-r5.apk
2025-06-30 09:25
5.9K
perl-template-tiny-doc-1.16-r0.apk
2025-07-24 14:42
5.0K
perl-template-tiny-1.16-r0.apk
2025-07-24 14:42
5.5K
perl-template-timer-doc-1.00-r0.apk
2025-04-13 22:28
3.7K
perl-template-timer-1.00-r0.apk
2025-04-13 22:28
3.4K
perl-template-plugin-number-format-doc-1.06-r4.apk
2024-10-25 21:10
4.4K
perl-template-plugin-number-format-1.06-r4.apk
2024-10-25 21:10
4.9K
perl-template-plugin-csv-doc-0.04-r3.apk
2024-10-25 21:10
3.0K
perl-template-plugin-csv-0.04-r3.apk
2024-10-25 21:10
2.7K
perl-task-catalyst-doc-4.02-r0.apk
2025-06-15 06:46
3.7K
perl-task-catalyst-4.02-r0.apk
2025-06-15 06:46
3.0K
perl-sys-virt-doc-11.10.0-r0.apk
2025-12-03 17:05
106K
perl-sys-virt-11.10.0-r0.apk
2025-12-03 17:05
195K
perl-syntax-operator-equ-doc-0.10-r1.apk
2025-06-30 09:25
6.6K
perl-syntax-operator-equ-0.10-r1.apk
2025-06-30 09:25
8.3K
perl-syntax-keyword-match-doc-0.15-r1.apk
2025-06-30 09:25
7.8K
perl-syntax-keyword-match-0.15-r1.apk
2025-06-30 09:25
14K
perl-string-toidentifier-en-doc-0.12-r0.apk
2025-06-09 14:06
5.6K
perl-string-toidentifier-en-0.12-r0.apk
2025-06-09 14:06
5.4K
perl-string-escape-doc-2010.002-r0.apk
2025-04-02 19:03
7.8K
perl-string-escape-2010.002-r0.apk
2025-04-02 19:03
8.9K
perl-string-camelcase-doc-0.04-r2.apk
2024-10-25 21:10
3.5K
perl-string-camelcase-0.04-r2.apk
2024-10-25 21:10
3.2K
perl-statistics-descriptive-doc-3.0801-r0.apk
2024-10-25 21:10
38K
perl-statistics-descriptive-3.0801-r0.apk
2024-10-25 21:10
30K
perl-statistics-basic-doc-1.6611-r0.apk
2024-10-25 21:10
50K
perl-statistics-basic-1.6611-r0.apk
2024-10-25 21:10
9.2K
perl-starman-doc-0.4017-r0.apk
2024-10-25 21:10
10K
perl-starman-0.4017-r0.apk
2024-10-25 21:10
13K
perl-sql-abstract-more-doc-1.44-r0.apk
2025-07-14 09:46
17K
perl-sql-abstract-more-1.44-r0.apk
2025-07-14 09:46
28K
perl-sql-abstract-classic-doc-1.91-r1.apk
2024-10-25 21:10
20K
perl-sql-abstract-classic-1.91-r1.apk
2024-10-25 21:10
30K
perl-sort-naturally-doc-1.03-r4.apk
2024-10-25 21:10
5.5K
perl-sort-naturally-1.03-r4.apk
2024-10-25 21:10
8.7K
perl-software-license-doc-0.104007-r0.apk
2025-07-08 18:53
50K
perl-software-license-0.104007-r0.apk
2025-07-08 18:53
107K
perl-soap-lite-doc-1.27-r5.apk
2024-10-25 21:10
90K
perl-soap-lite-1.27-r5.apk
2024-10-25 21:10
110K
perl-snowball-swedish-doc-1.2-r0.apk
2025-06-08 18:02
3.9K
perl-snowball-swedish-1.2-r0.apk
2025-06-08 18:02
5.2K
perl-snowball-norwegian-doc-1.2-r0.apk
2025-06-08 18:02
3.9K
perl-snowball-norwegian-1.2-r0.apk
2025-06-08 18:02
5.3K
perl-snmp-info-doc-3.974000-r0.apk
2025-09-12 06:49
390K
perl-snmp-info-3.974000-r0.apk
2025-09-12 06:49
337K
perl-snmp-doc-5.0404-r14.apk
2025-06-30 09:25
14K
perl-snmp-5.0404-r14.apk
2025-06-30 09:25
66K
perl-smart-comments-doc-1.06-r0.apk
2025-07-07 19:02
8.5K
perl-smart-comments-1.06-r0.apk
2025-07-07 19:02
12K
perl-shell-guess-doc-0.10-r0.apk
2025-06-07 16:55
5.8K
perl-shell-guess-0.10-r0.apk
2025-06-07 16:55
6.0K
perl-shell-config-generate-doc-0.34-r0.apk
2025-06-08 18:02
6.8K
perl-shell-config-generate-0.34-r0.apk
2025-06-08 18:02
7.8K
perl-session-storage-secure-doc-1.000-r2.apk
2024-10-25 21:10
7.4K
perl-session-storage-secure-1.000-r2.apk
2024-10-25 21:10
8.8K
perl-regexp-trie-doc-0.02-r0.apk
2025-07-12 09:22
3.3K
perl-regexp-trie-0.02-r0.apk
2025-07-12 09:22
3.0K
perl-prereqscanner-notquitelite-doc-0.9917-r0.apk
2025-07-12 15:43
49K
perl-prereqscanner-notquitelite-0.9917-r0.apk
2025-07-12 15:43
41K
perl-pod-tidy-doc-0.10-r1.apk
2024-10-25 21:10
11K
perl-pod-tidy-0.10-r1.apk
2024-10-25 21:10
10K
perl-pod-cpandoc-doc-0.16-r6.apk
2024-10-25 21:10
4.9K
perl-pod-cpandoc-0.16-r6.apk
2024-10-25 21:10
4.6K
perl-plack-test-externalserver-doc-0.02-r0.apk
2025-03-26 12:51
3.1K
perl-plack-test-externalserver-0.02-r0.apk
2025-03-26 12:51
2.8K
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk
2024-10-25 21:10
3.1K
perl-plack-middleware-reverseproxy-0.16-r2.apk
2024-10-25 21:10
3.2K
perl-plack-middleware-removeredundantbody-doc-0.09-r0.apk
2024-12-27 15:02
3.1K
perl-plack-middleware-removeredundantbody-0.09-r0.apk
2024-12-27 15:02
2.5K
perl-plack-middleware-methodoverride-doc-0.20-r0.apk
2025-03-26 12:51
4.0K
perl-plack-middleware-methodoverride-0.20-r0.apk
2025-03-26 12:51
3.6K
perl-plack-middleware-fixmissingbodyinredirect-doc-0.12-r0.apk
2024-12-26 10:36
3.1K
perl-plack-middleware-fixmissingbodyinredirect-0.12-r0.apk
2024-12-26 10:36
3.1K
perl-plack-middleware-expires-doc-0.06-r3.apk
2024-10-25 21:10
3.3K
perl-plack-middleware-expires-0.06-r3.apk
2024-10-25 21:10
3.9K
perl-perlio-locale-doc-0.10-r13.apk
2025-06-30 09:25
3.1K
perl-perlio-locale-0.10-r13.apk
2025-06-30 09:25
4.7K
perl-path-iter-doc-0.2-r3.apk
2024-10-25 21:10
5.2K
perl-path-iter-0.2-r3.apk
2024-10-25 21:10
5.2K
perl-path-dispatcher-doc-1.08-r0.apk
2025-06-09 14:05
38K
perl-path-dispatcher-1.08-r0.apk
2025-06-09 14:05
14K
perl-parse-distname-doc-0.05-r0.apk
2025-07-12 08:30
4.4K
perl-parse-distname-0.05-r0.apk
2025-07-12 08:30
5.5K
perl-pango-doc-1.227-r12.apk
2025-06-30 09:25
82K
perl-pango-1.227-r12.apk
2025-06-30 09:25
76K
perl-openapi-client-doc-1.07-r0.apk
2024-10-25 21:10
7.4K
perl-openapi-client-1.07-r0.apk
2024-10-25 21:10
8.6K
perl-object-signature-doc-1.08-r0.apk
2025-04-04 13:56
5.4K
perl-object-signature-1.08-r0.apk
2025-04-04 13:56
3.7K
perl-number-tolerant-doc-1.710-r0.apk
2024-10-25 21:10
26K
perl-number-tolerant-1.710-r0.apk
2024-10-25 21:10
15K
perl-number-format-doc-1.76-r1.apk
2024-10-25 21:10
9.0K
perl-number-format-1.76-r1.apk
2024-10-25 21:10
15K
perl-netaddr-mac-doc-0.99-r0.apk
2025-12-21 08:46
8.1K
perl-netaddr-mac-0.99-r0.apk
2025-12-21 08:46
10K
perl-net-patricia-doc-1.24-r0.apk
2025-11-20 22:27
6.3K
perl-net-patricia-1.24-r0.apk
2025-11-20 22:27
20K
perl-net-irr-doc-0.10-r0.apk
2024-10-25 21:10
5.2K
perl-net-irr-0.10-r0.apk
2024-10-25 21:10
5.5K
perl-net-curl-promiser-mojo-0.20-r0.apk
2024-10-25 21:10
3.1K
perl-net-curl-promiser-ioasync-0.20-r0.apk
2024-10-25 21:10
3.0K
perl-net-curl-promiser-doc-0.20-r0.apk
2024-10-25 21:10
12K
perl-net-curl-promiser-anyevent-0.20-r0.apk
2024-10-25 21:10
2.7K
perl-net-curl-promiser-0.20-r0.apk
2024-10-25 21:10
8.9K
perl-net-curl-doc-0.58-r0.apk
2026-01-14 19:19
39K
perl-net-curl-0.58-r0.apk
2026-01-14 19:19
61K
perl-net-async-redis-xs-doc-1.001-r2.apk
2025-06-30 09:25
5.4K
perl-net-async-redis-xs-1.001-r2.apk
2025-06-30 09:25
9.1K
perl-net-async-redis-doc-6.006-r0.apk
2024-12-11 17:22
66K
perl-net-async-redis-6.006-r0.apk
2024-12-11 17:22
58K
perl-net-amqp-rabbitmq-doc-2.40014-r1.apk
2025-11-21 00:47
11K
perl-net-amqp-rabbitmq-2.40014-r1.apk
2025-11-21 00:47
76K
perl-musicbrainz-discid-doc-0.06-r2.apk
2025-06-30 09:25
4.4K
perl-musicbrainz-discid-0.06-r2.apk
2025-06-30 09:25
8.9K
perl-moox-typetiny-doc-0.002003-r0.apk
2025-06-09 14:05
3.2K
perl-moox-typetiny-0.002003-r0.apk
2025-06-09 14:05
3.6K
perl-moosex-types-stringlike-doc-0.003-r0.apk
2025-03-26 12:51
3.6K
perl-moosex-types-stringlike-0.003-r0.apk
2025-03-26 12:51
3.0K
perl-moosex-types-path-tiny-doc-0.012-r0.apk
2025-03-26 12:51
4.1K
perl-moosex-types-path-tiny-0.012-r0.apk
2025-03-26 12:51
4.0K
perl-moosex-types-loadableclass-doc-0.016-r0.apk
2025-05-03 22:03
3.7K
perl-moosex-types-loadableclass-0.016-r0.apk
2025-05-03 22:03
3.2K
perl-moosex-traits-pluggable-doc-0.12-r0.apk
2025-05-03 22:03
4.2K
perl-moosex-traits-pluggable-0.12-r0.apk
2025-05-03 22:03
4.9K
perl-moosex-simpleconfig-doc-0.11-r0.apk
2025-03-26 12:51
4.2K
perl-moosex-simpleconfig-0.11-r0.apk
2025-03-26 12:51
3.8K
perl-moosex-role-parameterized-doc-1.11-r0.apk
2025-03-26 12:51
24K
perl-moosex-role-parameterized-1.11-r0.apk
2025-03-26 12:51
7.7K
perl-moosex-relatedclassroles-doc-0.004-r0.apk
2025-05-03 22:03
3.3K
perl-moosex-relatedclassroles-0.004-r0.apk
2025-05-03 22:03
2.8K
perl-moosex-object-pluggable-doc-0.0014-r0.apk
2025-05-03 22:03
5.5K
perl-moosex-object-pluggable-0.0014-r0.apk
2025-05-03 22:03
6.0K
perl-moosex-nonmoose-doc-0.27-r1.apk
2025-06-19 12:55
9.4K
perl-moosex-nonmoose-0.27-r1.apk
2025-06-19 12:55
8.2K
perl-moosex-methodattributes-doc-0.32-r0.apk
2025-03-26 12:51
22K
perl-moosex-methodattributes-0.32-r0.apk
2025-03-26 12:51
8.6K
perl-moosex-markasmethods-doc-0.15-r0.apk
2025-06-04 20:18
5.2K
perl-moosex-markasmethods-0.15-r0.apk
2025-06-04 20:18
5.1K
perl-moosex-getopt-doc-0.78-r0.apk
2025-03-26 12:51
25K
perl-moosex-getopt-0.78-r0.apk
2025-03-26 12:51
14K
perl-moosex-emulate-class-accessor-fast-doc-0.009032-r0.apk
2025-03-26 12:51
5.3K
perl-moosex-emulate-class-accessor-fast-0.009032-r0.apk
2025-03-26 12:51
5.4K
perl-moosex-configfromfile-doc-0.14-r0.apk
2025-03-26 12:51
4.2K
perl-moosex-configfromfile-0.14-r0.apk
2025-03-26 12:51
4.1K
perl-mojolicious-plugin-openapi-doc-5.11-r0.apk
2025-03-26 12:51
33K
perl-mojolicious-plugin-openapi-5.11-r0.apk
2025-03-26 12:51
28K
perl-module-path-doc-0.19-r0.apk
2025-06-08 18:02
5.8K
perl-module-path-0.19-r0.apk
2025-06-08 18:02
4.7K
perl-module-extract-version-doc-1.119-r0.apk
2025-09-06 18:20
3.5K
perl-module-extract-version-1.119-r0.apk
2025-09-06 18:20
3.3K
perl-module-cpants-analyse-doc-1.02-r0.apk
2025-07-12 15:43
28K
perl-module-cpants-analyse-1.02-r0.apk
2025-07-12 15:43
27K
perl-memoize-expirelru-doc-0.56-r0.apk
2025-06-08 18:02
3.8K
perl-memoize-expirelru-0.56-r0.apk
2025-06-08 18:02
6.2K
perl-math-libm-doc-1.00-r15.apk
2025-06-30 09:25
3.2K
perl-math-libm-1.00-r15.apk
2025-06-30 09:25
9.9K
perl-math-int64-doc-0.57-r2.apk
2025-06-30 09:25
11K
perl-math-int64-0.57-r2.apk
2025-06-30 09:25
27K
perl-lwp-useragent-cached-doc-0.08-r1.apk
2024-10-25 21:10
5.7K
perl-lwp-useragent-cached-0.08-r1.apk
2024-10-25 21:10
6.4K
perl-log-message-simple-doc-0.10-r3.apk
2024-10-25 21:10
4.0K
perl-log-message-simple-0.10-r3.apk
2024-10-25 21:10
4.2K
perl-log-message-doc-0.08-r3.apk
2024-10-25 21:10
12K
perl-log-message-0.08-r3.apk
2024-10-25 21:10
11K
perl-log-fu-doc-0.31-r4.apk
2024-10-25 21:10
7.3K
perl-log-fu-0.31-r4.apk
2024-10-25 21:10
11K
perl-linux-pid-doc-0.04-r15.apk
2025-06-30 09:25
3.1K
perl-linux-pid-0.04-r15.apk
2025-06-30 09:25
4.9K
perl-lingua-stem-snowball-da-doc-1.01-r0.apk
2025-06-08 18:02
3.0K
perl-lingua-stem-snowball-da-1.01-r0.apk
2025-06-08 18:02
4.3K
perl-lingua-stem-ru-doc-0.04-r0.apk
2025-06-06 16:57
3.7K
perl-lingua-stem-ru-0.04-r0.apk
2025-06-06 16:57
4.1K
perl-lingua-stem-it-doc-0.02-r0.apk
2025-06-06 16:57
3.5K
perl-lingua-stem-it-0.02-r0.apk
2025-06-06 16:57
5.2K
perl-lingua-stem-fr-doc-0.02-r0.apk
2025-06-06 16:57
3.9K
perl-lingua-stem-fr-0.02-r0.apk
2025-06-06 16:57
6.1K
perl-lingua-stem-doc-2.31-r0.apk
2025-06-08 18:02
34K
perl-lingua-stem-2.31-r0.apk
2025-06-08 18:02
12K
perl-lingua-pt-stemmer-doc-0.02-r0.apk
2025-06-07 04:00
4.3K
perl-lingua-pt-stemmer-0.02-r0.apk
2025-06-07 04:00
5.4K
perl-lingua-en-words2nums-doc-0.18-r0.apk
2025-06-07 16:55
3.5K
perl-lingua-en-words2nums-0.18-r0.apk
2025-06-07 16:55
4.6K
perl-lingua-en-tagger-doc-0.31-r0.apk
2025-06-08 18:02
4.5K
perl-lingua-en-tagger-0.31-r0.apk
2025-06-08 18:02
546K
perl-lingua-en-number-isordinal-doc-0.05-r0.apk
2025-06-08 18:02
3.4K
perl-lingua-en-number-isordinal-0.05-r0.apk
2025-06-08 18:02
3.1K
perl-lingua-en-inflect-phrase-doc-0.20-r0.apk
2025-06-09 14:06
3.9K
perl-lingua-en-inflect-phrase-0.20-r0.apk
2025-06-09 14:06
5.3K
perl-lingua-en-inflect-number-doc-1.12-r0.apk
2025-06-08 18:02
3.6K
perl-lingua-en-inflect-number-1.12-r0.apk
2025-06-08 18:02
3.2K
perl-lingua-en-findnumber-doc-1.32-r0.apk
2025-06-08 18:02
3.5K
perl-lingua-en-findnumber-1.32-r0.apk
2025-06-08 18:02
3.3K
perl-libintl-perl-doc-1.35-r0.apk
2025-01-16 14:35
571K
perl-libintl-perl-1.35-r0.apk
2025-01-16 14:35
305K
perl-libapreq2-doc-2.17-r3.apk
2025-06-30 09:25
37K
perl-libapreq2-dev-2.17-r3.apk
2025-06-30 09:25
57K
perl-libapreq2-2.17-r3.apk
2025-06-30 09:25
109K
perl-lexical-persistence-doc-1.023-r0.apk
2025-05-03 22:03
7.6K
perl-lexical-persistence-1.023-r0.apk
2025-05-03 22:03
7.5K
perl-json-validator-doc-5.15-r0.apk
2025-03-26 12:51
34K
perl-json-validator-5.15-r0.apk
2025-03-26 12:51
58K
perl-io-sessiondata-1.03-r3.apk
2024-10-25 21:10
5.8K
perl-io-interactive-doc-1.027-r0.apk
2025-09-01 15:16
5.2K
perl-io-interactive-1.027-r0.apk
2025-09-01 15:16
5.3K
perl-io-handle-util-doc-0.02-r0.apk
2025-06-11 18:34
9.8K
perl-io-handle-util-0.02-r0.apk
2025-06-11 18:34
11K
perl-imager-doc-1.028-r1.apk
2025-06-30 09:25
286K
perl-imager-1.028-r1.apk
2025-06-30 09:25
521K
perl-i18n-langinfo-wide-doc-9-r4.apk
2024-10-25 21:10
4.1K
perl-i18n-langinfo-wide-9-r4.apk
2024-10-25 21:10
4.2K
perl-http-headers-actionpack-doc-0.09-r0.apk
2025-06-13 20:17
40K
perl-http-headers-actionpack-0.09-r0.apk
2025-06-13 20:17
18K
perl-html-treebuilder-xpath-doc-0.14-r0.apk
2025-07-12 08:30
4.2K
perl-html-treebuilder-xpath-0.14-r0.apk
2025-07-12 08:30
7.8K
perl-html-tableextract-doc-2.15-r4.apk
2024-10-25 21:10
9.9K
perl-html-tableextract-2.15-r4.apk
2024-10-25 21:10
18K
perl-html-query-doc-0.09-r0.apk
2025-06-16 13:50
10K
perl-html-query-0.09-r0.apk
2025-06-16 13:50
14K
perl-html-gumbo-doc-0.18-r2.apk
2025-06-30 09:25
5.4K
perl-html-gumbo-0.18-r2.apk
2025-06-30 09:25
14K
perl-html-formhandler-doc-0.40068-r0.apk
2025-05-03 22:03
323K
perl-html-formhandler-0.40068-r0.apk
2025-05-03 22:03
135K
perl-html-formatexternal-doc-26-r0.apk
2025-06-07 16:55
23K
perl-html-formatexternal-26-r0.apk
2025-06-07 16:55
17K
perl-hash-merge-extra-doc-0.06-r0.apk
2025-06-20 17:18
3.4K
perl-hash-merge-extra-0.06-r0.apk
2025-06-20 17:18
3.1K
perl-getopt-tabular-doc-0.3-r4.apk
2024-10-25 21:10
17K
perl-getopt-tabular-0.3-r4.apk
2024-10-25 21:10
23K
perl-getopt-long-descriptive-doc-0.116-r0.apk
2024-12-31 10:39
11K
perl-getopt-long-descriptive-0.116-r0.apk
2024-12-31 10:39
15K
perl-full-doc-1.004-r0.apk
2024-10-25 21:10
10K
perl-full-1.004-r0.apk
2024-10-25 21:10
7.1K
perl-freezethaw-doc-0.5001-r3.apk
2025-10-18 12:32
5.7K
perl-freezethaw-0.5001-r3.apk
2025-10-18 12:32
9.8K
perl-flowd-doc-0.9.1-r11.apk
2025-06-30 09:25
3.3K
perl-flowd-0.9.1-r11.apk
2025-06-30 09:25
21K
perl-finance-quote-doc-1.68-r0.apk
2025-12-22 11:54
90K
perl-finance-quote-1.68-r0.apk
2025-12-22 11:54
114K
perl-file-treecreate-doc-0.0.1-r0.apk
2025-07-12 08:30
4.4K
perl-file-treecreate-0.0.1-r0.apk
2025-07-12 08:30
4.0K
perl-file-rename-doc-2.02-r0.apk
2024-10-25 21:10
12K
perl-file-rename-2.02-r0.apk
2024-10-25 21:10
7.5K
perl-file-mmagic-xs-doc-0.09008-r5.apk
2025-06-30 09:25
4.3K
perl-file-mmagic-xs-0.09008-r5.apk
2025-06-30 09:25
29K
perl-file-find-object-doc-0.3.9-r0.apk
2025-07-12 08:30
13K
perl-file-find-object-0.3.9-r0.apk
2025-07-12 08:30
9.2K
perl-file-changenotify-doc-0.31-r0.apk
2025-03-26 12:51
14K
perl-file-changenotify-0.31-r0.apk
2025-03-26 12:51
12K
perl-ffi-platypus-type-enum-doc-0.06-r0.apk
2024-10-25 21:10
5.2K
perl-ffi-platypus-type-enum-0.06-r0.apk
2024-10-25 21:10
5.2K
perl-ffi-platypus-doc-2.11-r0.apk
2026-01-13 08:10
148K
perl-ffi-platypus-2.11-r0.apk
2026-01-13 08:10
175K
perl-ffi-c-doc-0.15-r0.apk
2024-10-25 21:10
29K
perl-ffi-c-0.15-r0.apk
2024-10-25 21:10
20K
perl-extutils-xsbuilder-doc-0.28-r5.apk
2024-10-25 21:10
21K
perl-extutils-xsbuilder-0.28-r5.apk
2024-10-25 21:10
43K
perl-extutils-makemaker-7.76-r0.apk
2025-08-16 16:22
174K
perl-expect-simple-doc-0.04-r0.apk
2025-04-20 06:38
4.9K
perl-expect-simple-0.04-r0.apk
2025-04-20 06:38
5.5K
perl-expect-doc-1.38-r0.apk
2025-04-19 21:02
20K
perl-expect-1.38-r0.apk
2025-04-19 21:02
32K
perl-encode-detect-doc-1.01-r1.apk
2025-06-30 09:25
4.8K
perl-encode-detect-1.01-r1.apk
2025-06-30 09:25
72K
perl-email-sender-doc-2.601-r0.apk
2025-04-04 11:43
42K
perl-email-sender-2.601-r0.apk
2025-04-04 11:43
25K
perl-email-reply-doc-1.204-r5.apk
2024-10-25 21:10
4.8K
perl-email-reply-1.204-r5.apk
2024-10-25 21:10
6.1K
perl-email-mime-attachment-stripper-doc-1.317-r5.apk
2024-10-25 21:10
3.8K
perl-email-mime-attachment-stripper-1.317-r5.apk
2024-10-25 21:10
4.0K
perl-email-abstract-doc-3.010-r0.apk
2024-10-25 21:10
13K
perl-email-abstract-3.010-r0.apk
2024-10-25 21:10
7.6K
perl-digest-bcrypt-doc-1.212-r1.apk
2024-10-25 21:10
5.2K
perl-digest-bcrypt-1.212-r1.apk
2024-10-25 21:10
5.6K
perl-devel-trace-doc-0.12-r0.apk
2025-07-06 21:08
3.5K
perl-devel-trace-0.12-r0.apk
2025-07-06 21:08
3.4K
perl-devel-stacktrace-withlexicals-doc-2.01-r0.apk
2025-05-03 22:03
3.4K
perl-devel-stacktrace-withlexicals-2.01-r0.apk
2025-05-03 22:03
3.7K
perl-devel-repl-doc-1.003029-r0.apk
2025-05-03 22:03
60K
perl-devel-repl-1.003029-r0.apk
2025-05-03 22:03
28K
perl-devel-nytprof-doc-6.14-r1.apk
2025-06-30 09:25
51K
perl-devel-nytprof-6.14-r1.apk
2025-06-30 09:25
391K
perl-devel-leak-doc-0.03-r14.apk
2025-06-30 09:25
3.3K
perl-devel-leak-0.03-r14.apk
2025-06-30 09:25
7.1K
perl-dbix-lite-doc-0.36-r0.apk
2024-12-30 07:37
18K
perl-dbix-lite-0.36-r0.apk
2024-12-30 07:37
18K
perl-dbix-introspector-doc-0.001005-r4.apk
2024-10-25 21:10
8.5K
perl-dbix-introspector-0.001005-r4.apk
2024-10-25 21:10
8.1K
perl-dbix-datasource-doc-0.02-r5.apk
2024-10-25 21:10
7.5K
perl-dbix-datasource-0.02-r5.apk
2024-10-25 21:10
4.3K
perl-dbix-class-schema-loader-doc-0.07053-r0.apk
2025-06-09 18:31
77K
perl-dbix-class-schema-loader-0.07053-r0.apk
2025-06-09 18:31
97K
perl-dbix-class-helpers-doc-2.037000-r0.apk
2024-11-20 01:45
121K
perl-dbix-class-helpers-2.037000-r0.apk
2024-11-20 01:45
48K
perl-dbix-class-doc-0.082844-r0.apk
2025-01-16 18:26
421K
perl-dbix-class-cursor-cached-doc-1.001004-r0.apk
2025-06-09 18:31
3.0K
perl-dbix-class-cursor-cached-1.001004-r0.apk
2025-06-09 18:31
3.2K
perl-dbix-class-candy-doc-0.005004-r0.apk
2024-10-30 06:59
9.5K
perl-dbix-class-candy-0.005004-r0.apk
2024-10-30 06:59
7.9K
perl-dbix-class-0.082844-r0.apk
2025-01-16 18:26
355K
perl-dbicx-sugar-doc-0.0200-r5.apk
2024-10-25 21:10
5.3K
perl-dbicx-sugar-0.0200-r5.apk
2024-10-25 21:10
5.9K
perl-date-range-doc-1.41-r0.apk
2025-07-08 18:53
4.0K
perl-date-range-1.41-r0.apk
2025-07-08 18:53
3.8K
perl-database-async-engine-postgresql-doc-1.005-r0.apk
2024-10-25 21:10
9.3K
perl-database-async-engine-postgresql-1.005-r0.apk
2024-10-25 21:10
14K
perl-data-visitor-doc-0.32-r0.apk
2025-03-26 12:51
8.3K
perl-data-visitor-0.32-r0.apk
2025-03-26 12:51
9.8K
perl-data-validate-ip-doc-0.31-r1.apk
2024-10-25 21:10
5.9K
perl-data-validate-ip-0.31-r1.apk
2024-10-25 21:10
8.8K
perl-data-section-doc-0.200008-r0.apk
2025-07-07 19:02
5.6K
perl-data-section-0.200008-r0.apk
2025-07-07 19:02
6.4K
perl-data-dump-streamer-doc-2.42-r1.apk
2025-06-30 09:25
17K
perl-data-dump-streamer-2.42-r1.apk
2025-06-30 09:25
50K
perl-data-clone-doc-0.006-r1.apk
2025-06-30 09:25
4.5K
perl-data-clone-0.006-r1.apk
2025-06-30 09:25
9.9K
perl-data-censor-doc-0.04-r0.apk
2026-01-23 01:42
5.0K
perl-data-censor-0.04-r0.apk
2026-01-23 01:42
4.9K
perl-data-binary-doc-0.01-r0.apk
2025-07-12 08:30
3.1K
perl-data-binary-0.01-r0.apk
2025-07-12 08:30
2.8K
perl-dancer2-doc-2.0.1-r0.apk
2026-01-24 11:28
319K
perl-dancer2-2.0.1-r0.apk
2026-01-24 11:28
148K
perl-dancer-session-cookie-doc-0.30-r2.apk
2024-10-25 21:10
4.2K
perl-dancer-session-cookie-0.30-r2.apk
2024-10-25 21:10
5.5K
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk
2024-10-25 21:10
8.5K
perl-dancer-plugin-passphrase-2.0.1-r4.apk
2024-10-25 21:10
9.7K
perl-dancer-plugin-dbic-doc-0.2104-r5.apk
2024-10-25 21:10
5.4K
perl-dancer-plugin-dbic-0.2104-r5.apk
2024-10-25 21:10
4.9K
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk
2024-10-25 21:10
15K
perl-dancer-plugin-auth-extensible-1.00-r5.apk
2024-10-25 21:10
15K
perl-daemon-control-doc-0.001010-r2.apk
2024-10-25 21:10
8.3K
perl-daemon-control-0.001010-r2.apk
2024-10-25 21:10
12K
perl-css-inliner-doc-4027-r0.apk
2025-06-16 13:50
9.4K
perl-css-inliner-4027-r0.apk
2025-06-16 13:50
16K
perl-crypt-saltedhash-doc-0.09-r5.apk
2024-10-25 21:10
6.4K
perl-crypt-saltedhash-0.09-r5.apk
2024-10-25 21:10
7.0K
perl-crypt-blowfish-doc-2.14-r1.apk
2025-06-30 09:25
4.1K
perl-crypt-blowfish-2.14-r1.apk
2025-06-30 09:25
13K
perl-cpansa-db-doc-20250807.001-r0.apk
2025-09-01 15:16
5.6K
perl-cpansa-db-20250807.001-r0.apk
2025-09-01 15:16
1.3M
perl-cpan-changes-doc-0.500005-r0.apk
2025-08-16 16:22
18K
perl-cpan-changes-0.500005-r0.apk
2025-08-16 16:22
13K
perl-cpan-audit-doc-20250829.001-r0.apk
2025-09-06 18:20
12K
perl-cpan-audit-20250829.001-r0.apk
2025-09-06 18:20
14K
perl-context-preserve-doc-0.03-r4.apk
2024-10-25 21:10
4.2K
perl-context-preserve-0.03-r4.apk
2024-10-25 21:10
3.9K
perl-constant-generate-doc-0.17-r5.apk
2024-10-25 21:10
7.0K
perl-constant-generate-0.17-r5.apk
2024-10-25 21:10
8.8K
perl-constant-defer-doc-6-r5.apk
2024-10-25 21:10
6.9K
perl-constant-defer-6-r5.apk
2024-10-25 21:10
7.4K
perl-conf-libconfig-doc-1.0.3-r2.apk
2025-06-30 09:25
5.5K
perl-conf-libconfig-1.0.3-r2.apk
2025-06-30 09:25
23K
perl-clipboard-doc-0.32-r1.apk
2025-10-13 18:00
27K
perl-clipboard-0.32-r1.apk
2025-10-13 18:00
10K
perl-cli-osprey-doc-0.08-r0.apk
2024-12-28 05:54
12K
perl-cli-osprey-0.08-r0.apk
2024-12-28 05:54
13K
perl-class-unload-doc-0.11-r0.apk
2025-06-04 20:18
3.1K
perl-class-unload-0.11-r0.apk
2025-06-04 20:18
2.6K
perl-class-c3-doc-0.35-r1.apk
2024-10-25 21:10
9.3K
perl-class-c3-componentised-doc-1.001002-r2.apk
2024-10-25 21:10
5.3K
perl-class-c3-componentised-1.001002-r2.apk
2024-10-25 21:10
5.5K
perl-class-c3-adopt-next-doc-0.14-r0.apk
2025-03-26 12:51
4.7K
perl-class-c3-adopt-next-0.14-r0.apk
2025-03-26 12:51
5.1K
perl-class-c3-0.35-r1.apk
2024-10-25 21:10
9.5K
perl-class-accessor-grouped-doc-0.10014-r2.apk
2024-10-25 21:10
7.5K
perl-class-accessor-grouped-0.10014-r2.apk
2024-10-25 21:10
12K
perl-cgi-struct-doc-1.21-r0.apk
2025-03-26 12:51
6.8K
perl-cgi-struct-1.21-r0.apk
2025-03-26 12:51
7.8K
perl-cgi-simple-doc-1.282-r0.apk
2025-08-30 06:17
43K
perl-cgi-simple-1.282-r0.apk
2025-08-30 06:17
56K
perl-cgi-expand-doc-2.05-r4.apk
2024-10-25 21:10
6.2K
perl-cgi-expand-2.05-r4.apk
2024-10-25 21:10
6.9K
perl-catalystx-simplelogin-doc-0.21-r0.apk
2025-05-07 09:38
24K
perl-catalystx-simplelogin-0.21-r0.apk
2025-05-07 09:38
11K
perl-catalystx-repl-doc-0.04-r0.apk
2025-05-03 22:03
3.6K
perl-catalystx-repl-0.04-r0.apk
2025-05-03 22:03
3.3K
perl-catalystx-profile-doc-0.02-r0.apk
2025-06-15 06:46
4.5K
perl-catalystx-profile-0.02-r0.apk
2025-06-15 06:46
3.1K
perl-catalystx-leakchecker-doc-0.06-r0.apk
2025-06-15 06:46
3.5K
perl-catalystx-leakchecker-0.06-r0.apk
2025-06-15 06:46
3.4K
perl-catalystx-injectcomponent-doc-0.025-r0.apk
2025-05-03 22:03
3.6K
perl-catalystx-injectcomponent-0.025-r0.apk
2025-05-03 22:03
3.4K
perl-catalystx-component-traits-doc-0.19-r0.apk
2025-05-07 09:38
4.0K
perl-catalystx-component-traits-0.19-r0.apk
2025-05-07 09:38
4.3K
perl-catalyst-view-tt-doc-0.46-r0.apk
2025-04-13 22:28
13K
perl-catalyst-view-tt-0.46-r0.apk
2025-04-13 22:28
14K
perl-catalyst-view-email-doc-0.36-r0.apk
2025-04-04 11:43
11K
perl-catalyst-view-email-0.36-r0.apk
2025-04-04 11:43
9.1K
perl-catalyst-runtime-doc-5.90132-r0.apk
2025-03-26 12:51
216K
perl-catalyst-runtime-5.90132-r0.apk
2025-03-26 12:51
150K
perl-catalyst-plugin-static-simple-doc-0.37-r0.apk
2025-03-26 12:51
7.4K
perl-catalyst-plugin-static-simple-0.37-r0.apk
2025-03-26 12:51
8.7K
perl-catalyst-plugin-stacktrace-doc-0.12-r0.apk
2025-06-14 04:46
3.9K
perl-catalyst-plugin-stacktrace-0.12-r0.apk
2025-06-14 04:46
4.7K
perl-catalyst-plugin-session-store-file-doc-0.18-r0.apk
2025-06-14 04:46
4.0K
perl-catalyst-plugin-session-store-file-0.18-r0.apk
2025-06-14 04:46
3.6K
perl-catalyst-plugin-session-store-delegate-doc-0.06-r0.apk
2025-06-13 20:17
4.5K
perl-catalyst-plugin-session-store-delegate-0.06-r0.apk
2025-06-13 20:17
4.5K
perl-catalyst-plugin-session-store-dbic-doc-0.14-r0.apk
2025-06-13 20:17
6.4K
perl-catalyst-plugin-session-store-dbic-0.14-r0.apk
2025-06-13 20:17
5.9K
perl-catalyst-plugin-session-state-cookie-doc-0.18-r0.apk
2025-04-20 06:32
4.8K
perl-catalyst-plugin-session-state-cookie-0.18-r0.apk
2025-04-20 06:32
4.9K
perl-catalyst-plugin-session-doc-0.43-r0.apk
2025-04-04 13:56
25K
perl-catalyst-plugin-session-0.43-r0.apk
2025-04-04 13:56
14K
perl-catalyst-plugin-i18n-doc-0.10-r0.apk
2025-04-04 11:43
13K
perl-catalyst-plugin-i18n-0.10-r0.apk
2025-04-04 11:43
4.1K
perl-catalyst-plugin-configloader-doc-0.35-r0.apk
2025-03-26 12:51
10K
perl-catalyst-plugin-configloader-0.35-r0.apk
2025-03-26 12:51
5.4K
perl-catalyst-plugin-authentication-doc-0.10024-r0.apk
2025-04-02 19:03
56K
perl-catalyst-plugin-authentication-0.10024-r0.apk
2025-04-02 19:03
32K
perl-catalyst-model-dbic-schema-doc-0.66-r0.apk
2025-06-11 18:35
18K
perl-catalyst-model-dbic-schema-0.66-r0.apk
2025-06-11 18:35
19K
perl-catalyst-model-adaptor-doc-0.10-r0.apk
2025-04-13 22:28
12K
perl-catalyst-model-adaptor-0.10-r0.apk
2025-04-13 22:28
6.5K
perl-catalyst-manual-doc-5.9013-r0.apk
2025-04-04 07:22
375K
perl-catalyst-manual-5.9013-r0.apk
2025-04-04 07:22
2.7K
perl-catalyst-devel-doc-1.42-r0.apk
2025-03-26 12:51
11K
perl-catalyst-devel-1.42-r0.apk
2025-03-26 12:51
54K
perl-catalyst-controller-actionrole-doc-0.17-r0.apk
2025-04-04 07:40
4.4K
perl-catalyst-controller-actionrole-0.17-r0.apk
2025-04-04 07:40
5.0K
perl-catalyst-component-instancepercontext-doc-0.001001-r1.apk
2025-06-19 12:55
3.3K
perl-catalyst-component-instancepercontext-0.001001-r1.apk
2025-06-19 12:55
2.7K
perl-catalyst-authentication-store-dbix-class-doc-0.1506-r0.apk
2025-06-11 18:35
14K
perl-catalyst-authentication-store-dbix-class-0.1506-r0.apk
2025-06-11 18:35
13K
perl-catalyst-authentication-credential-http-doc-1.018-r0.apk
2025-04-04 07:38
6.8K
perl-catalyst-authentication-credential-http-1.018-r0.apk
2025-04-04 07:38
8.6K
perl-catalyst-actionrole-acl-doc-0.07-r0.apk
2025-05-07 09:38
5.7K
perl-catalyst-actionrole-acl-0.07-r0.apk
2025-05-07 09:38
4.3K
perl-catalyst-action-rest-doc-1.21-r0.apk
2025-04-02 19:03
27K
perl-catalyst-action-rest-1.21-r0.apk
2025-04-02 19:03
25K
perl-catalyst-action-renderview-doc-0.17-r0.apk
2025-03-26 12:51
4.0K
perl-catalyst-action-renderview-0.17-r0.apk
2025-03-26 12:51
3.8K
perl-carp-repl-doc-0.18-r0.apk
2025-05-03 22:03
5.9K
perl-carp-repl-0.18-r0.apk
2025-05-03 22:03
6.0K
perl-carp-assert-more-doc-2.9.0-r0.apk
2025-04-13 22:28
7.8K
perl-carp-assert-more-2.9.0-r0.apk
2025-04-13 22:28
9.0K
perl-bind-config-parser-doc-0.01-r5.apk
2024-10-25 21:10
3.6K
perl-bind-config-parser-0.01-r5.apk
2024-10-25 21:10
3.9K
perl-barcode-zbar-doc-0.10-r4.apk
2025-06-30 09:25
13K
perl-barcode-zbar-0.10-r4.apk
2025-06-30 09:25
28K
perl-badger-doc-0.16-r1.apk
2025-11-12 00:13
263K
perl-badger-0.16-r1.apk
2025-11-12 00:13
253K
perl-b-utils-doc-0.27-r1.apk
2025-06-30 09:25
9.5K
perl-b-utils-0.27-r1.apk
2025-06-30 09:25
19K
perl-autobox-doc-3.0.2-r1.apk
2025-06-30 09:25
8.9K
perl-autobox-3.0.2-r1.apk
2025-06-30 09:25
19K
perl-asa-doc-1.04-r0.apk
2025-06-11 18:34
5.0K
perl-asa-1.04-r0.apk
2025-06-11 18:34
4.3K
perl-array-diff-doc-0.09-r0.apk
2025-07-12 08:30
3.9K
perl-array-diff-0.09-r0.apk
2025-07-12 08:30
3.3K
perl-archive-extract-doc-0.88-r1.apk
2024-10-25 21:10
6.8K
perl-archive-extract-0.88-r1.apk
2024-10-25 21:10
16K
perl-archive-any-lite-doc-0.11-r0.apk
2025-07-12 08:30
3.7K
perl-archive-any-lite-0.11-r0.apk
2025-07-12 08:30
4.1K
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk
2024-10-25 21:10
4.0K
perl-anyevent-dns-etchosts-0.0105-r0.apk
2024-10-25 21:10
5.1K
perl-alien-libgumbo-doc-0.05-r1.apk
2025-06-30 09:25
4.8K
perl-alien-libgumbo-0.05-r1.apk
2025-06-30 09:25
560K
perl-alien-base-modulebuild-doc-1.17-r0.apk
2025-06-08 18:02
53K
perl-alien-base-modulebuild-1.17-r0.apk
2025-06-08 18:02
22K
perl-aliased-doc-0.34-r4.apk
2024-10-25 21:10
5.7K
perl-aliased-0.34-r4.apk
2024-10-25 21:10
5.7K
perl-algorithm-evolutionary-doc-0.82.1-r0.apk
2024-10-25 21:10
148K
perl-algorithm-evolutionary-0.82.1-r0.apk
2024-10-25 21:10
79K
perl-algorithm-cron-doc-0.10-r4.apk
2024-10-25 21:10
4.6K
perl-algorithm-cron-0.10-r4.apk
2024-10-25 21:10
6.1K
perl-algorithm-c3-doc-0.11-r1.apk
2024-10-25 21:10
5.1K
perl-algorithm-c3-0.11-r1.apk
2024-10-25 21:10
5.7K
percona-toolkit-doc-3.5.4-r1.apk
2024-10-25 21:10
298K
percona-toolkit-3.5.4-r1.apk
2024-10-25 21:10
1.8M
pegasus-frontend-doc-16_alpha-r0.apk
2024-10-25 21:10
16K
pegasus-frontend-16_alpha-r0.apk
2024-10-25 21:10
1.2M
peg-doc-0.1.18-r1.apk
2024-10-25 21:10
14K
peg-0.1.18-r1.apk
2024-10-25 21:10
36K
peervpn-openrc-0.044-r5.apk
2024-10-25 21:10
1.8K
peervpn-0.044-r5.apk
2024-10-25 21:10
40K
pebble-le-doc-0.3.0-r2.apk
2024-12-14 20:38
3.7K
pebble-le-dev-0.3.0-r2.apk
2024-12-14 20:38
42K
pebble-le-0.3.0-r2.apk
2024-12-14 20:38
64K
pdfcrack-0.21-r0.apk
2025-11-19 09:08
32K
pdf2svg-0.2.4-r0.apk
2025-09-28 20:49
4.8K
pdal-python-plugins-1.6.5-r0.apk
2025-06-21 23:42
229K
pd-mapper-systemd-1.1-r0.apk
2026-01-13 01:01
1.7K
pd-mapper-openrc-1.1-r0.apk
2026-01-13 01:01
1.7K
pd-mapper-doc-1.1-r0.apk
2026-01-13 01:01
2.5K
pd-mapper-1.1-r0.apk
2026-01-13 01:01
11K
pcl-libs-1.15.1-r0.apk
2026-01-18 03:32
1.2M
pcl-dev-1.15.1-r0.apk
2026-01-18 03:32
380K
pcl-1.15.1-r0.apk
2026-01-18 03:32
493K
pathvector-6.3.2-r19.apk
2026-01-17 23:42
3.8M
pasystray-doc-0.8.2-r0.apk
2024-10-25 21:10
3.3K
pasystray-0.8.2-r0.apk
2024-10-25 21:10
47K
pash-2.3.0-r2.apk
2024-10-25 21:10
4.3K
parse-changelog-0.6.12-r0.apk
2025-05-19 00:20
508K
parpar-0.4.5-r1.apk
2025-12-29 16:46
3.1M
par2cmdline-turbo-doc-1.3.0-r0.apk
2025-05-08 14:24
5.9K
par2cmdline-turbo-1.3.0-r0.apk
2025-05-08 14:24
232K
par-doc-1.53.0-r1.apk
2024-10-25 21:10
30K
par-1.53.0-r1.apk
2024-10-25 21:10
14K
paprefs-lang-1.2-r2.apk
2024-11-22 23:11
38K
paprefs-1.2-r2.apk
2024-11-22 23:11
31K
paperkey-doc-1.6-r2.apk
2024-10-25 21:10
4.5K
paperkey-1.6-r2.apk
2024-10-25 21:10
17K
paperde-dev-0.3.0-r2.apk
2025-08-21 09:47
5.0K
paperde-0.3.0-r2.apk
2025-08-21 09:47
617K
pandora_box-0.18.0-r0.apk
2026-01-04 14:46
409K
pamtester-doc-0.1.2-r4.apk
2024-10-25 21:10
2.9K
pamtester-0.1.2-r4.apk
2024-10-25 21:10
8.9K
pam_sqlite3-1.0.2-r2.apk
2024-10-25 21:10
9.0K
pam-pkcs11-systemd-0.6.13-r1.apk
2025-11-17 14:13
1.8K
pam-pkcs11-doc-0.6.13-r1.apk
2025-11-17 14:13
14K
pam-pkcs11-0.6.13-r1.apk
2025-11-17 14:13
266K
pam-krb5-doc-4.11-r1.apk
2024-10-25 21:10
24K
pam-krb5-4.11-r1.apk
2024-10-25 21:10
23K
paged-markdown-3-pdf-zsh-completion-0.1.3-r0.apk
2025-05-29 12:14
1.9K
paged-markdown-3-pdf-fish-completion-0.1.3-r0.apk
2025-05-29 12:14
1.8K
paged-markdown-3-pdf-bash-completion-0.1.3-r0.apk
2025-05-29 12:14
1.9K
paged-markdown-3-pdf-0.1.3-r0.apk
2025-05-29 12:14
464K
pacparser-doc-1.4.5-r1.apk
2024-10-25 21:10
18K
pacparser-dev-1.4.5-r1.apk
2024-10-25 21:10
3.6K
pacparser-1.4.5-r1.apk
2024-10-25 21:10
702K
packwiz-doc-0_git20251102-r2.apk
2026-01-17 23:42
2.3K
packwiz-0_git20251102-r2.apk
2026-01-17 23:42
4.5M
p910nd-openrc-0.97-r2.apk
2024-10-25 21:10
1.8K
p910nd-doc-0.97-r2.apk
2024-10-25 21:10
3.0K
p910nd-0.97-r2.apk
2024-10-25 21:10
7.7K
p0f-doc-3.09b-r3.apk
2024-10-25 21:10
25K
p0f-3.09b-r3.apk
2024-10-25 21:10
76K
oxygen-icons-6.1.0-r0.apk
2024-10-25 21:10
32M
ovpncc-doc-0.1_rc1-r0.apk
2024-10-25 21:10
6.5K
ovpncc-0.1_rc1-r0.apk
2024-10-25 21:10
12K
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk
2024-10-25 21:10
4.0K
ovos-skill-hello-world-0.0.4_alpha3-r1.apk
2024-10-25 21:10
46K
ovos-phal-pyc-0.2.10-r0.apk
2025-07-15 21:30
7.2K
ovos-phal-0.2.10-r0.apk
2025-07-15 21:30
10K
ovos-messagebus-pyc-0.0.10-r0.apk
2025-04-08 09:43
6.6K
ovos-messagebus-0.0.10-r0.apk
2025-04-08 09:43
10K
ovos-gui-pyc-1.3.3-r0.apk
2025-07-15 22:03
38K
ovos-gui-1.3.3-r0.apk
2025-07-15 22:03
38K
ovos-dinkum-listener-pyc-0.4.1-r0.apk
2025-07-15 22:03
57K
ovos-dinkum-listener-0.4.1-r0.apk
2025-07-15 22:03
109K
ovos-core-pyc-2.1.0-r0.apk
2025-11-02 17:03
58K
ovos-core-2.1.0-r0.apk
2025-11-02 17:03
49K
ovos-audio-pyc-1.0.1-r0.apk
2025-07-15 22:03
36K
ovos-audio-1.0.1-r0.apk
2025-07-15 22:03
136K
ovos-0.0.1-r1.apk
2024-10-25 21:10
1.5K
ovn-openrc-25.09.2-r0.apk
2025-12-14 23:05
2.4K
ovn-doc-25.09.2-r0.apk
2025-12-14 23:05
264K
ovn-dev-25.09.2-r0.apk
2025-12-14 23:05
1.9M
ovn-dbg-25.09.2-r0.apk
2025-12-14 23:05
6.7M
ovn-25.09.2-r0.apk
2025-12-14 23:05
1.9M
ovhcloud-cli-0.9.0-r1.apk
2026-01-17 23:42
6.9M
ouch-zsh-completion-0.6.1-r0.apk
2025-05-28 09:37
3.1K
ouch-fish-completion-0.6.1-r0.apk
2025-05-28 09:37
3.0K
ouch-doc-0.6.1-r0.apk
2025-05-28 09:37
3.8K
ouch-bash-completion-0.6.1-r0.apk
2025-05-28 09:37
2.5K
ouch-0.6.1-r0.apk
2025-05-28 09:37
1.6M
otrs-setup-6.0.48-r2.apk
2024-10-25 21:10
107K
otrs-openrc-6.0.48-r2.apk
2024-10-25 21:10
1.9K
otrs-nginx-6.0.48-r2.apk
2024-10-25 21:10
1.8K
otrs-fastcgi-6.0.48-r2.apk
2024-10-25 21:10
1.8K
otrs-doc-6.0.48-r2.apk
2024-10-25 21:10
795K
otrs-dev-6.0.48-r2.apk
2024-10-25 21:10
3.9M
otrs-bash-completion-6.0.48-r2.apk
2024-10-25 21:10
2.4K
otrs-apache2-6.0.48-r2.apk
2024-10-25 21:10
4.0K
otrs-6.0.48-r2.apk
2024-10-25 21:10
29M
otpclient-doc-4.1.1-r0.apk
2025-07-23 06:04
3.6K
otpclient-4.1.1-r0.apk
2025-07-23 06:04
115K
otf-server-openrc-0.4.9-r1.apk
2026-01-17 23:42
2.0K
otf-server-0.4.9-r1.apk
2026-01-17 23:42
12M
otf-cli-0.4.9-r1.apk
2026-01-17 23:42
7.7M
otf-atkinson-hyperlegible-doc-2020.0514-r1.apk
2024-10-25 21:10
48K
otf-atkinson-hyperlegible-2020.0514-r1.apk
2024-10-25 21:10
102K
otf-agent-openrc-0.4.9-r1.apk
2026-01-17 23:42
2.0K
otf-agent-0.4.9-r1.apk
2026-01-17 23:42
7.9M
otf-0.4.9-r1.apk
2026-01-17 23:42
1.3K
ostui-doc-1.0.4-r0.apk
2025-09-11 04:50
28K
ostui-1.0.4-r0.apk
2025-09-11 04:50
4.6M
osmctools-0.9-r0.apk
2024-10-25 21:10
120K
organicmaps-2025.09.05.1-r1.apk
2026-01-14 05:08
126M
orage-lang-4.21.0-r0.apk
2026-01-08 03:33
1.2M
orage-4.21.0-r0.apk
2026-01-08 03:33
596K
optee-client-libs-3.20.0-r0.apk
2024-10-25 21:10
39K
optee-client-dev-3.20.0-r0.apk
2024-10-25 21:10
29K
optee-client-3.20.0-r0.apk
2024-10-25 21:10
21K
oppa-1.1.0-r0.apk
2025-10-12 15:21
495K
opmsg-1.84-r1.apk
2024-10-25 21:10
250K
opkg-utils-doc-0.7.0-r0.apk
2024-10-25 21:10
3.6K
opkg-utils-0.7.0-r0.apk
2024-10-25 21:10
25K
opkg-libs-0.7.0-r0.apk
2024-10-25 21:10
79K
opkg-doc-0.7.0-r0.apk
2024-10-25 21:10
7.7K
opkg-dev-0.7.0-r0.apk
2024-10-25 21:10
116K
opkg-0.7.0-r0.apk
2024-10-25 21:10
10K
openwsman-libs-2.8.1-r1.apk
2025-06-30 09:25
318K
openwsman-doc-2.8.1-r1.apk
2025-06-30 09:25
2.4K
openwsman-dev-2.8.1-r1.apk
2025-06-30 09:25
56K
openwsman-2.8.1-r1.apk
2025-06-30 09:25
48K
openvpn3-dev-3.8.5-r1.apk
2025-02-17 16:08
667K
openvpn3-3.8.5-r1.apk
2025-02-17 16:08
377K
openterface-qt-0.5.11-r0.apk
2026-01-23 00:43
1.2M
opentelemetry-cpp-exporter-zipkin-1.24.0-r0.apk
2025-11-22 14:32
52K
opentelemetry-cpp-exporter-otlp-http-1.24.0-r0.apk
2025-11-22 14:32
92K
opentelemetry-cpp-exporter-otlp-grpc-1.24.0-r0.apk
2025-11-22 14:32
66K
opentelemetry-cpp-exporter-otlp-common-1.24.0-r0.apk
2025-11-22 14:32
46K
opentelemetry-cpp-dev-1.24.0-r0.apk
2025-11-22 14:32
521K
opentelemetry-cpp-1.24.0-r0.apk
2025-11-22 14:32
620K
openswitcher-proxy-openrc-0.5.0-r4.apk
2024-10-25 21:10
2.0K
openswitcher-proxy-0.5.0-r4.apk
2024-10-25 21:10
9.4K
openswitcher-0.5.0-r4.apk
2024-10-25 21:10
148K
openscap-daemon-pyc-0.1.10-r9.apk
2024-10-25 21:10
102K
openscap-daemon-doc-0.1.10-r9.apk
2024-10-25 21:10
18K
openscap-daemon-0.1.10-r9.apk
2024-10-25 21:10
60K
openrdap-doc-0.9.1-r0.apk
2025-06-24 17:50
2.2K
openrdap-0.9.1-r0.apk
2025-06-24 17:50
3.1M
openocd-riscv-udev-rules-0_git20230104-r2.apk
2024-10-25 21:10
3.3K
openocd-riscv-doc-0_git20230104-r2.apk
2024-10-25 21:10
3.3K
openocd-riscv-dev-0_git20230104-r2.apk
2024-10-25 21:10
3.7K
openocd-riscv-0_git20230104-r2.apk
2024-10-25 21:10
1.5M
openocd-git-udev-0_git20251018-r1.apk
2025-10-20 22:14
3.5K
openocd-git-doc-0_git20251018-r1.apk
2025-10-20 22:14
3.2K
openocd-git-dev-0_git20251018-r1.apk
2025-10-20 22:14
3.3K
openocd-git-dbg-0_git20251018-r1.apk
2025-10-20 22:14
4.2M
openocd-git-cmd-openocd-0_git20251018-r1.apk
2025-10-20 22:14
1.6K
openocd-git-0_git20251018-r1.apk
2025-10-20 22:14
1.7M
openocd-esp32-udev-0_git20250707-r2.apk
2025-10-20 22:14
3.5K
openocd-esp32-doc-0_git20250707-r2.apk
2025-10-20 22:14
3.2K
openocd-esp32-dev-0_git20250707-r2.apk
2025-10-20 22:14
3.7K
openocd-esp32-0_git20250707-r2.apk
2025-10-20 22:14
1.9M
openjfx-doc-21.0.3_p1-r0.apk
2026-01-19 23:38
96K
openjfx-demos-21.0.3_p1-r0.apk
2026-01-19 23:38
28M
openjfx-21.0.3_p1-r0.apk
2026-01-19 23:38
9.0M
openfpgaloader-0.11.0-r0.apk
2024-10-25 21:10
1.9M
openfortivpn-doc-1.22.1-r0.apk
2024-12-11 22:34
6.3K
openfortivpn-1.22.1-r0.apk
2024-12-11 22:34
41K
openfire-plugins-4.8.1-r1.apk
2024-12-04 12:57
72K
openfire-openrc-4.8.1-r1.apk
2024-12-04 12:57
1.9K
openfire-doc-4.8.1-r1.apk
2024-12-04 12:57
3.8M
openfire-4.8.1-r1.apk
2024-12-04 12:57
46M
opendht-libs-3.1.11-r0.apk
2025-01-27 21:56
565K
opendht-doc-3.1.11-r0.apk
2025-01-27 21:56
3.0K
opendht-dev-3.1.11-r0.apk
2025-01-27 21:56
71K
opendht-3.1.11-r0.apk
2025-01-27 21:56
182K
openconnect-sso-pyc-0.8.0_git20230822-r0.apk
2025-05-14 11:13
29K
openconnect-sso-0.8.0_git20230822-r0.apk
2025-05-14 11:13
29K
openapi-validator-1.19.2-r0.apk
2024-10-25 21:10
9.9M
openapi-tui-0.9.4-r1.apk
2024-10-25 21:10
4.3M
opcr-policy-0.3.1-r2.apk
2026-01-17 23:42
9.4M
opa-zsh-completion-1.12.3-r0.apk
2026-01-24 10:40
4.0K
opa-fish-completion-1.12.3-r0.apk
2026-01-24 10:40
4.3K
opa-doc-1.12.3-r0.apk
2026-01-24 10:40
23K
opa-bash-completion-1.12.3-r0.apk
2026-01-24 10:40
6.1K
opa-1.12.3-r0.apk
2026-01-24 10:40
11M
oniux-doc-0.7.0-r0.apk
2026-01-15 02:06
3.3K
oniux-0.7.0-r0.apk
2026-01-15 02:06
6.7M
onionshare-pyc-2.6.3-r1.apk
2025-11-17 00:39
240K
onionshare-desktop-2.6.3-r1.apk
2025-11-17 00:39
1.3M
onionshare-2.6.3-r1.apk
2025-11-17 00:39
180K
onioncat-doc-4.11.0-r1.apk
2026-01-09 17:41
19K
onioncat-4.11.0-r1.apk
2026-01-09 17:41
56K
olsrd-plugins-0.9.8-r3.apk
2024-10-25 21:10
198K
olsrd-openrc-0.9.8-r3.apk
2024-10-25 21:10
1.9K
olsrd-doc-0.9.8-r3.apk
2024-10-25 21:10
25K
olsrd-0.9.8-r3.apk
2024-10-25 21:10
163K
ol-doc-2.6-r0.apk
2025-05-03 22:03
2.6K
ol-dev-2.6-r0.apk
2025-05-03 22:03
16K
ol-2.6-r0.apk
2025-05-03 22:03
1.0M
oils-for-unix-doc-0.35.0-r0.apk
2025-09-29 00:29
7.0K
oils-for-unix-binsh-0.35.0-r0.apk
2025-09-29 00:29
1.5K
oils-for-unix-bash-0.35.0-r0.apk
2025-09-29 00:29
1.7K
oils-for-unix-0.35.0-r0.apk
2025-09-29 00:29
618K
oha-doc-1.12.1-r0.apk
2025-12-20 01:03
2.2K
oha-1.12.1-r0.apk
2025-12-20 01:03
3.0M
odin-vendor-0.2026.01-r0.apk
2026-01-08 03:33
3.9M
odin-doc-0.2026.01-r0.apk
2026-01-08 03:33
4.5K
odin-0.2026.01-r0.apk
2026-01-08 03:33
3.1M
octoprint-pyc-1.11.5-r0.apk
2026-01-18 08:01
1.3M
octoprint-pisupport-pyc-2025.7.23-r0.apk
2026-01-04 15:26
15K
octoprint-pisupport-2025.7.23-r0.apk
2026-01-04 15:26
31K
octoprint-openrc-1.11.5-r0.apk
2026-01-18 08:01
1.8K
octoprint-firmwarecheck-pyc-2025.7.23-r0.apk
2026-01-04 15:26
18K
octoprint-firmwarecheck-2025.7.23-r0.apk
2026-01-04 15:26
29K
octoprint-filecheck-pyc-2025.7.23-r0.apk
2026-01-04 15:26
12K
octoprint-filecheck-2025.7.23-r0.apk
2026-01-04 15:26
28K
octoprint-creality2xfix-pyc-0.0.4-r2.apk
2024-10-25 21:10
3.3K
octoprint-creality2xfix-0.0.4-r2.apk
2024-10-25 21:10
4.7K
octoprint-1.11.5-r0.apk
2026-01-18 08:01
3.1M
ocfs2-tools-doc-1.8.7-r4.apk
2024-10-25 21:10
69K
ocfs2-tools-dev-1.8.7-r4.apk
2024-10-25 21:10
45K
ocfs2-tools-1.8.7-r4.apk
2024-10-25 21:10
1.1M
obnc-doc-0.17.2-r0.apk
2025-05-26 01:37
33K
obnc-0.17.2-r0.apk
2025-05-26 01:37
146K
oblibs-dev-0.3.4.0-r0.apk
2025-06-01 17:51
258K
oblibs-dbg-0.3.4.0-r0.apk
2025-06-01 17:51
97K
oblibs-0.3.4.0-r0.apk
2025-06-01 17:51
33K
objconv-2.52_git20210213-r2.apk
2024-10-25 21:10
242K
oauth2-proxy-openrc-7.11.0-r5.apk
2026-01-17 23:42
2.1K
oauth2-proxy-7.11.0-r5.apk
2026-01-17 23:42
8.2M
nzbget-openrc-25.4-r0.apk
2025-11-17 15:43
2.1K
nzbget-25.4-r0.apk
2025-11-17 15:43
4.8M
nyuu-0.4.2-r1.apk
2025-12-29 16:46
716K
nymphcast-mediaserver-nftables-0.1-r4.apk
2025-08-28 23:08
1.7K
nymphcast-mediaserver-0.1-r4.apk
2025-08-28 23:08
69K
nwipe-doc-0.39-r0.apk
2025-12-05 03:01
3.8K
nwipe-0.39-r0.apk
2025-12-05 03:01
268K
nwg-panel-pyc-0.10.13-r0.apk
2025-11-28 14:29
270K
nwg-panel-doc-0.10.13-r0.apk
2025-11-28 14:29
4.4K
nwg-panel-0.10.13-r0.apk
2025-11-28 14:29
288K
nwg-look-doc-1.0.6-r2.apk
2026-01-17 23:42
4.2K
nwg-look-1.0.6-r2.apk
2026-01-17 23:42
1.4M
nwg-dock-0.4.3-r6.apk
2026-01-17 23:42
1.7M
nwg-displays-pyc-0.3.26-r0.apk
2025-08-28 18:59
36K
nwg-displays-0.3.26-r0.apk
2025-08-28 18:59
27K
nwg-bar-0.1.6-r16.apk
2026-01-17 23:42
1.5M
nvtop-doc-3.2.0-r0.apk
2025-05-03 22:03
3.5K
nvtop-3.2.0-r0.apk
2025-05-03 22:03
65K
nvimpager-zsh-completion-0.12.0-r0.apk
2024-10-25 21:10
1.8K
nvimpager-doc-0.12.0-r0.apk
2024-10-25 21:10
4.4K
nvimpager-0.12.0-r0.apk
2024-10-25 21:10
13K
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk
2024-10-25 21:10
2.0K
nvim-cmp-path-0.0.0_git20221002-r1.apk
2024-10-25 21:10
3.8K
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk
2024-10-25 21:10
2.0K
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk
2024-10-25 21:10
3.5K
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk
2024-10-25 21:10
2.6K
nvim-cmp-lsp-0.0.0_git20220516-r1.apk
2024-10-25 21:10
3.5K
nvim-cmp-doc-0.0.0_git20221011-r1.apk
2024-10-25 21:10
10K
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk
2024-10-25 21:10
1.8K
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk
2024-10-25 21:10
3.3K
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk
2024-10-25 21:10
4.2K
nvim-cmp-buffer-0.0.0_git20220810-r1.apk
2024-10-25 21:10
7.8K
nvim-cmp-0.0.0_git20221011-r1.apk
2024-10-25 21:10
55K
nvidia-src-575.64.05-r0.apk
2025-07-23 06:04
18M
nuzzle-doc-1.6-r0.apk
2025-01-26 07:27
3.2K
nuzzle-1.6-r0.apk
2025-01-26 07:27
11K
numbat-doc-1.16.0-r0.apk
2025-08-19 15:10
32K
numbat-1.16.0-r0.apk
2025-08-19 15:10
1.8M
nullmailer-openrc-2.2-r4.apk
2024-10-25 21:10
1.6K
nullmailer-doc-2.2-r4.apk
2024-10-25 21:10
10K
nullmailer-2.2-r4.apk
2024-10-25 21:10
121K
nuklear-doc-4.12.0-r0.apk
2024-10-25 21:10
42K
nuklear-4.12.0-r0.apk
2024-10-25 21:10
220K
nuclei-doc-3.6.2-r1.apk
2026-01-17 23:42
2.3K
nuclei-3.6.2-r1.apk
2026-01-17 23:42
36M
ntpd-rs-openrc-1.6.2-r2.apk
2025-12-18 18:56
1.9K
ntpd-rs-doc-1.6.2-r2.apk
2025-12-18 18:56
24K
ntpd-rs-1.6.2-r2.apk
2025-12-18 18:56
3.7M
nsq-1.3.0-r10.apk
2025-05-14 00:00
24M
nsnake-doc-3.0.0-r0.apk
2024-10-25 21:10
2.6K
nsnake-3.0.0-r0.apk
2024-10-25 21:10
8.8K
nsh-dbg-0.4.2-r1.apk
2024-10-25 21:10
3.3M
nsh-0.4.2-r1.apk
2024-10-25 21:10
578K
nrf5-sdk-doc-17.1.0-r0.apk
2025-08-19 21:54
3.6K
nrf5-sdk-17.1.0-r0.apk
2025-08-19 21:54
47M
notification-daemon-3.20.0-r1.apk
2025-07-02 03:23
60K
normaliz-libs-3.10.4-r3.apk
2025-11-26 09:24
2.7M
normaliz-dev-3.10.4-r3.apk
2025-11-26 09:24
73K
normaliz-3.10.4-r3.apk
2025-11-26 09:24
39K
nomadnet-pyc-0.8.0-r0.apk
2025-09-23 23:13
285K
nomadnet-0.8.0-r0.apk
2025-09-23 23:13
143K
nom-doc-2.8.0-r9.apk
2026-01-17 23:42
4.0K
nom-2.8.0-r9.apk
2026-01-17 23:42
6.6M
noice-doc-0.8-r1.apk
2024-10-25 21:10
3.4K
noice-0.8-r1.apk
2024-10-25 21:10
9.8K
noggin-model-lightweight-0.1-r0.apk
2024-10-25 21:10
1.7M
noggin-model-0.1-r0.apk
2024-10-25 21:10
12M
noggin-doc-0.1-r24.apk
2026-01-17 23:42
2.9K
noggin-0.1-r24.apk
2026-01-17 23:42
1.3M
node-libpg-query-13.1.2-r5.apk
2024-10-25 21:10
18K
noblenote-1.2.1-r1.apk
2024-10-25 21:10
396K
nmqtt-openrc-1.0.7-r0.apk
2026-01-24 14:35
2.0K
nmqtt-doc-1.0.7-r0.apk
2026-01-24 14:35
2.3K
nmqtt-1.0.7-r0.apk
2026-01-24 14:35
842K
nmap-parse-output-doc-1.5.1-r1.apk
2025-05-29 14:00
808K
nmap-parse-output-bash-completion-1.5.1-r1.apk
2025-05-29 14:00
2.0K
nmap-parse-output-1.5.1-r1.apk
2025-05-29 14:00
20K
nm-tray-lang-0.5.1-r0.apk
2025-09-11 19:35
27K
nm-tray-0.5.1-r0.apk
2025-09-11 19:35
99K
nkk-doc-0_git20221010-r0.apk
2024-10-25 21:10
7.0K
nkk-dev-0_git20221010-r0.apk
2024-10-25 21:10
2.9K
nkk-0_git20221010-r0.apk
2024-10-25 21:10
15K
nitro-init-doc-0.7.1-r0.apk
2026-01-26 12:49
4.6K
nitro-init-0.7.1-r0.apk
2026-01-26 12:49
24K
nitro-dev-2.7_beta8-r2.apk
2024-10-25 21:10
190K
nitro-2.7_beta8-r2.apk
2024-10-25 21:10
543K
nim-sha2-doc-0.1.1-r0.apk
2026-01-24 14:35
2.3K
nim-sha2-0.1.1-r0.apk
2026-01-24 14:35
6.0K
nim-nmqtt-1.0.7-r0.apk
2026-01-24 14:35
23K
nim-cligen-doc-1.9.5-r0.apk
2026-01-24 14:35
2.1K
nim-cligen-1.9.5-r0.apk
2026-01-24 14:35
189K
nim-bcrypt-dev-0.2.1-r0.apk
2026-01-24 14:35
16K
nim-bcrypt-0.2.1-r0.apk
2026-01-24 14:35
2.4K
nicotine-plus-pyc-3.3.10-r0.apk
2025-05-03 22:03
789K
nicotine-plus-lang-3.3.10-r0.apk
2025-05-03 22:03
757K
nicotine-plus-doc-3.3.10-r0.apk
2025-05-03 22:03
2.6K
nicotine-plus-3.3.10-r0.apk
2025-05-03 22:03
1.6M
ngs-vim-0.2.14-r0.apk
2024-10-25 21:10
4.9K
ngs-aws-0.2.14-r0.apk
2024-10-25 21:10
33K
ngs-0.2.14-r0.apk
2024-10-25 21:10
290K
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r1.apk
2024-10-25 21:10
21K
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r1.apk
2024-10-25 21:10
713K
nfoview-doc-2.1-r0.apk
2025-04-13 22:28
8.0K
nfoview-2.1-r0.apk
2025-04-13 22:28
39K
nfcd-systemd-1.2.4-r0.apk
2025-12-31 17:43
1.8K
nfcd-dev-1.2.4-r0.apk
2025-12-31 17:43
25K
nfcd-1.2.4-r0.apk
2025-12-31 17:43
322K
nextpnr-ice40-0.7-r0.apk
2024-10-25 21:10
69M
nextpnr-gowin-0.7-r0.apk
2024-10-25 21:10
1.5M
nextpnr-generic-0.7-r0.apk
2024-10-25 21:10
745K
nextpnr-ecp5-0.7-r0.apk
2024-10-25 21:10
25M
nextpnr-0.7-r0.apk
2024-10-25 21:10
1.4K
newsyslog-doc-1.2.0.91-r1.apk
2024-10-25 21:10
24K
newsyslog-1.2.0.91-r1.apk
2024-10-25 21:10
18K
newlib-esp-xtensa-none-elf-0_git20240109-r0.apk
2024-10-25 21:10
1.1M
newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk
2024-10-25 21:10
4.5M
newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk
2024-10-25 21:10
4.3M
newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk
2024-10-25 21:10
4.3M
newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk
2024-10-25 21:10
4.3M
newlib-esp-0_git20240109-r0.apk
2024-10-25 21:10
1.5K
networkmanager-dmenu-doc-2.6.1-r1.apk
2025-10-13 18:00
6.8K
networkmanager-dmenu-2.6.1-r1.apk
2025-10-13 18:00
14K
netsed-1.4-r0.apk
2025-08-18 12:15
10K
netscanner-doc-0.5.1-r1.apk
2024-10-25 21:10
3.3K
netscanner-0.5.1-r1.apk
2024-10-25 21:10
3.5M
netdiscover-doc-0.21-r0.apk
2025-08-15 14:45
4.2K
netdiscover-0.21-r0.apk
2025-08-15 14:45
459K
net-predictable-doc-1.5.1-r6.apk
2026-01-17 23:42
2.2K
net-predictable-1.5.1-r6.apk
2026-01-17 23:42
919K
neofetch-doc-7.1.0-r2.apk
2024-11-07 06:26
6.2K
neofetch-7.1.0-r2.apk
2024-11-07 06:26
86K
neocmakelsp-zsh-completion-0.9.1-r0.apk
2026-01-10 07:59
2.0K
neocmakelsp-fish-completion-0.9.1-r0.apk
2026-01-10 07:59
1.6K
neocmakelsp-doc-0.9.1-r0.apk
2026-01-10 07:59
5.8K
neocmakelsp-bash-completion-0.9.1-r0.apk
2026-01-10 07:59
2.0K
neocmakelsp-0.9.1-r0.apk
2026-01-10 07:59
1.5M
neo4j-client-doc-2.2.0-r3.apk
2024-10-25 21:10
5.4K
neo4j-client-2.2.0-r3.apk
2024-10-25 21:10
29K
nemo-gtkhash-plugin-1.5-r0.apk
2024-10-25 21:10
23K
neard-openrc-0.19-r1.apk
2026-01-18 20:02
1.7K
neard-doc-0.19-r1.apk
2026-01-18 20:02
5.6K
neard-dev-0.19-r1.apk
2026-01-18 20:02
11K
neard-0.19-r1.apk
2026-01-18 20:02
136K
ndpi-dev-4.10-r0.apk
2024-10-25 21:10
867K
ndpi-4.10-r0.apk
2024-10-25 21:10
1.3M
nbsdgames-doc-5-r0.apk
2024-10-25 21:10
9.4K
nbsdgames-5-r0.apk
2024-10-25 21:10
101K
nb-zsh-completion-7.24.0-r0.apk
2026-01-21 18:04
2.9K
nb-full-7.24.0-r0.apk
2026-01-21 18:04
1.3K
nb-fish-completion-7.24.0-r0.apk
2026-01-21 18:04
2.8K
nb-doc-7.24.0-r0.apk
2026-01-21 18:04
79K
nb-bash-completion-7.24.0-r0.apk
2026-01-21 18:04
3.0K
nb-7.24.0-r0.apk
2026-01-21 18:04
157K
nautilus-python-doc-4.0.1-r0.apk
2025-10-12 23:54
4.2K
nautilus-python-dev-4.0.1-r0.apk
2025-10-12 23:54
1.7K
nautilus-python-4.0.1-r0.apk
2025-10-12 23:54
10K
nano-hare-0_git20231021-r0.apk
2024-10-25 21:10
2.2K
naken_asm-dev-0_git20240726-r0.apk
2026-01-24 15:01
89K
naken_asm-0_git20240726-r0.apk
2026-01-24 15:01
925K
naabu-doc-2.4.0-r0.apk
2026-01-22 02:50
2.3K
naabu-2.4.0-r0.apk
2026-01-22 02:50
11M
n30f-2.0-r3.apk
2024-10-25 21:10
6.9K
mxclient-0_git20211002-r1.apk
2024-10-25 21:10
73K
musikcube-plugin-taglibreader-3.0.5-r0.apk
2025-09-27 23:15
34K
musikcube-plugin-supereqdsp-3.0.5-r0.apk
2025-09-27 23:15
25K
musikcube-plugin-stockencoders-3.0.5-r0.apk
2025-09-27 23:15
19K
musikcube-plugin-server-3.0.5-r0.apk
2025-09-27 23:15
351K
musikcube-plugin-openmpt-3.0.5-r0.apk
2025-09-27 23:15
29K
musikcube-plugin-mpris-3.0.5-r0.apk
2025-09-27 23:15
20K
musikcube-plugin-httpdatastream-3.0.5-r0.apk
2025-09-27 23:15
73K
musikcube-plugin-all-3.0.5-r0.apk
2025-09-27 23:15
1.3K
musikcube-dev-3.0.5-r0.apk
2025-09-27 23:15
19K
musikcube-3.0.5-r0.apk
2025-09-27 23:15
2.0M
muse-doc-4.2.1-r2.apk
2025-05-14 00:00
4.1M
muse-4.2.1-r2.apk
2025-05-14 00:00
6.0M
mtg-openrc-2.1.7-r27.apk
2026-01-17 23:42
1.9K
mtg-2.1.7-r27.apk
2026-01-17 23:42
4.3M
mtail-openrc-3.2.26-r2.apk
2026-01-17 23:42
2.0K
mtail-3.2.26-r2.apk
2026-01-17 23:42
13M
mstflint-doc-4.26.0.1-r0.apk
2024-10-25 21:10
18K
mstflint-4.26.0.1-r0.apk
2024-10-25 21:10
4.1M
mspdebug-doc-0.25-r1.apk
2024-10-25 21:10
14K
mspdebug-0.25-r1.apk
2024-10-25 21:10
206K
msh-openrc-2.5.0-r18.apk
2026-01-17 23:42
2.0K
msh-2.5.0-r18.apk
2026-01-17 23:42
2.8M
msgpuck-doc-2.0-r1.apk
2024-10-25 21:10
7.3K
msgpuck-dev-2.0-r1.apk
2024-10-25 21:10
24K
msgpuck-2.0-r1.apk
2024-10-25 21:10
1.2K
mrsh-libs-0_git20210518-r1.apk
2024-10-25 21:10
59K
mrsh-dev-0_git20210518-r1.apk
2024-10-25 21:10
10K
mrsh-dbg-0_git20210518-r1.apk
2024-10-25 21:10
205K
mrsh-0_git20210518-r1.apk
2024-10-25 21:10
5.5K
mqtt2prometheus-0.1.7-r22.apk
2026-01-17 23:42
4.2M
mpv-sponsorblock-2.2.0-r0.apk
2025-06-16 17:16
1.4M
mpdris2-lang-0.9.1-r3.apk
2024-10-25 21:10
2.3K
mpdris2-doc-0.9.1-r3.apk
2024-10-25 21:10
15K
mpdris2-0.9.1-r3.apk
2024-10-25 21:10
15K
mpdcron-zsh-completion-0.3-r1.apk
2024-10-25 21:10
2.9K
mpdcron-doc-0.3-r1.apk
2024-10-25 21:10
13K
mpdcron-dev-0.3-r1.apk
2024-10-25 21:10
61K
mpdcron-0.3-r1.apk
2024-10-25 21:10
94K
mp3val-0.1.8-r1.apk
2024-10-25 21:10
13K
motion-openrc-4.7.1-r0.apk
2025-09-27 23:15
2.2K
motion-lang-4.7.1-r0.apk
2025-09-27 23:15
471K
motion-doc-4.7.1-r0.apk
2025-09-27 23:15
140K
motion-4.7.1-r0.apk
2025-09-27 23:15
137K
morph-browser-lang-1.99.2-r0.apk
2026-01-25 22:15
344K
morph-browser-1.99.2-r0.apk
2026-01-25 22:15
541K
moosefs-static-4.56.6-r2.apk
2025-06-19 10:44
710K
moosefs-metalogger-openrc-4.56.6-r2.apk
2025-06-19 10:44
1.7K
moosefs-metalogger-4.56.6-r2.apk
2025-06-19 10:44
40K
moosefs-master-openrc-4.56.6-r2.apk
2025-06-19 10:44
1.7K
moosefs-master-4.56.6-r2.apk
2025-06-19 10:44
363K
moosefs-doc-4.56.6-r2.apk
2025-06-19 10:44
95K
moosefs-client-4.56.6-r2.apk
2025-06-19 10:44
713K
moosefs-chunkserver-openrc-4.56.6-r2.apk
2025-06-19 10:44
1.7K
moosefs-chunkserver-4.56.6-r2.apk
2025-06-19 10:44
181K
moosefs-cgiserv-openrc-4.56.6-r2.apk
2025-06-19 10:44
2.0K
moosefs-cgiserv-4.56.6-r2.apk
2025-06-19 10:44
7.8K
moosefs-cgi-4.56.6-r2.apk
2025-06-19 10:44
121K
moosefs-4.56.6-r2.apk
2025-06-19 10:44
255K
moon-buggy-doc-1.0.51-r1.apk
2024-10-25 21:10
7.1K
moon-buggy-1.0.51-r1.apk
2024-10-25 21:10
36K
monopd-openrc-0.10.4-r0.apk
2025-01-11 12:11
1.7K
monopd-0.10.4-r0.apk
2025-01-11 12:11
90K
mongo-cxx-driver-dev-3.8.0-r0.apk
2024-10-25 21:10
89K
mongo-cxx-driver-3.8.0-r0.apk
2024-10-25 21:10
176K
monetdb-doc-11.33.11-r4.apk
2024-10-25 21:10
321K
monetdb-dev-11.33.11-r4.apk
2024-10-25 21:10
77K
monetdb-11.33.11-r4.apk
2024-10-25 21:10
2.3M
moka-icon-theme-5.4.0-r2.apk
2024-10-25 21:10
114M
moe-doc-1.14-r0.apk
2024-10-25 21:10
19K
moe-1.14-r0.apk
2024-10-25 21:10
107K
mods-doc-1.8.1-r4.apk
2026-01-17 23:42
2.3K
mods-1.8.1-r4.apk
2026-01-17 23:42
9.8M
modem-manager-gui-lang-0.0.20-r0.apk
2024-10-25 21:10
129K
modem-manager-gui-doc-0.0.20-r0.apk
2024-10-25 21:10
3.9M
modem-manager-gui-0.0.20-r0.apk
2024-10-25 21:10
332K
mobroute-doc-0.10.0-r6.apk
2026-01-17 23:42
1.3M
mobroute-0.10.0-r6.apk
2026-01-17 23:42
4.3M
mobpass-pyc-0.2-r6.apk
2024-10-25 21:10
5.2K
mobpass-0.2-r6.apk
2024-10-25 21:10
18K
mnemosyne-pyc-2.10.1-r1.apk
2024-10-25 21:10
628K
mnemosyne-lang-2.10.1-r1.apk
2024-10-25 21:10
439K
mnemosyne-2.10.1-r1.apk
2024-10-25 21:10
607K
mnamer-pyc-2.5.5-r1.apk
2024-10-25 21:10
60K
mnamer-2.5.5-r1.apk
2024-10-25 21:10
32K
mml-zsh-completion-1.0.0-r1.apk
2025-09-30 02:41
2.8K
mml-fish-completion-1.0.0-r1.apk
2025-09-30 02:41
2.2K
mml-doc-1.0.0-r1.apk
2025-09-30 02:41
3.8K
mml-bash-completion-1.0.0-r1.apk
2025-09-30 02:41
2.3K
mml-1.0.0-r1.apk
2025-09-30 02:41
818K
mmix-0_git20221025-r0.apk
2024-10-25 21:10
147K
mmar-0.2.5-r1.apk
2025-05-14 00:00
2.5M
mm-doc-1.4.2-r1.apk
2024-10-25 21:10
14K
mm-dev-1.4.2-r1.apk
2024-10-25 21:10
11K
mm-common-doc-1.0.7-r0.apk
2025-06-27 18:39
33K
mm-common-1.0.7-r0.apk
2025-06-27 18:39
414K
mm-1.4.2-r1.apk
2024-10-25 21:10
7.0K
mlxl-0.1-r0.apk
2024-10-25 21:10
6.2K
mktorrent-borg-doc-0.9.9-r1.apk
2024-10-25 21:10
2.5K
mktorrent-borg-0.9.9-r1.apk
2024-10-25 21:10
9.4K
mkrundir-0.4.0-r1.apk
2024-11-28 17:16
96K
mkosi-sandbox-26-r1.apk
2025-12-27 18:17
14K
mkosi-pyc-26-r1.apk
2025-12-27 18:17
438K
mkosi-doc-26-r1.apk
2025-12-27 18:17
75K
mkosi-26-r1.apk
2025-12-27 18:17
283K
mkg3a-doc-0.5.0-r1.apk
2024-10-25 21:10
3.1K
mkg3a-0.5.0-r1.apk
2024-10-25 21:10
17K
mkdotenv-0.4.9-r3.apk
2026-01-17 23:42
901K
mkdocs-windmill-pyc-1.0.5-r4.apk
2024-10-25 21:10
1.8K
mkdocs-windmill-1.0.5-r4.apk
2024-10-25 21:10
944K
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk
2024-10-25 21:10
1.8K
mkdocs-rtd-dropdown-1.0.2-r5.apk
2024-10-25 21:10
248K
mkdocs-ivory-pyc-0.4.6-r5.apk
2024-10-25 21:10
1.8K
mkdocs-ivory-0.4.6-r5.apk
2024-10-25 21:10
11K
mkdocs-gitbook-pyc-0.0.1-r5.apk
2024-10-25 21:10
1.8K
mkdocs-gitbook-0.0.1-r5.apk
2024-10-25 21:10
644K
mkdocs-cluster-pyc-0.0.9-r5.apk
2024-10-25 21:10
1.8K
mkdocs-cluster-0.0.9-r5.apk
2024-10-25 21:10
651K
mkdocs-cinder-pyc-1.2.0-r5.apk
2024-10-25 21:10
1.8K
mkdocs-cinder-1.2.0-r5.apk
2024-10-25 21:10
249K
mkdocs-bootswatch-pyc-1.1-r5.apk
2024-10-25 21:10
4.7K
mkdocs-bootswatch-1.1-r5.apk
2024-10-25 21:10
538K
mkdocs-bootstrap386-pyc-0.0.2-r5.apk
2024-10-25 21:10
1.8K
mkdocs-bootstrap386-0.0.2-r5.apk
2024-10-25 21:10
791K
mkdocs-bootstrap4-pyc-0.1.5-r5.apk
2024-10-25 21:10
1.8K
mkdocs-bootstrap4-0.1.5-r5.apk
2024-10-25 21:10
260K
mkdocs-bootstrap-pyc-1.1.1-r2.apk
2024-10-25 21:10
1.8K
mkdocs-bootstrap-1.1.1-r2.apk
2024-10-25 21:10
29K
mkcert-1.4.4-r25.apk
2026-01-17 23:42
1.7M
mkbrr-1.20.0-r0.apk
2026-01-27 12:44
3.9M
mjpg-streamer-0_git20210220-r2.apk
2025-05-14 17:03
194K
mirrorhall-0.1.1-r2.apk
2025-08-10 03:01
26K
miracle-wm-dev-0.8.3-r0.apk
2025-12-31 17:43
15K
miracle-wm-0.8.3-r0.apk
2025-12-31 17:43
450K
mir-test-tools-2.25.2-r0.apk
2025-12-18 15:26
1.2M
mir-dev-2.25.2-r0.apk
2025-12-18 15:26
8.4M
mir-demos-2.25.2-r0.apk
2025-12-18 15:26
150K
mir-2.25.2-r0.apk
2025-12-18 15:26
2.3M
mint-y-theme-xfwm4-2.3.7-r1.apk
2026-01-24 15:18
206K
mint-y-theme-metacity-2.3.7-r1.apk
2026-01-24 15:18
55K
mint-y-theme-gtk4-2.3.7-r1.apk
2026-01-24 15:18
1.7M
mint-y-theme-gtk3-2.3.7-r1.apk
2026-01-24 15:18
2.0M
mint-y-theme-2.3.7-r1.apk
2026-01-24 15:18
3.5K
mint-y-icons-doc-1.9.1-r0.apk
2026-01-08 03:33
12K
mint-y-icons-1.9.1-r0.apk
2026-01-08 03:33
73M
mint-x-theme-xfwm4-2.3.7-r1.apk
2026-01-24 15:18
31K
mint-x-theme-metacity-2.3.7-r1.apk
2026-01-24 15:18
6.0K
mint-x-theme-gtk4-2.3.7-r1.apk
2026-01-24 15:18
503K
mint-x-theme-gtk3-2.3.7-r1.apk
2026-01-24 15:18
597K
mint-x-theme-2.3.7-r1.apk
2026-01-24 15:18
2.8K
mint-x-icons-doc-1.7.5-r0.apk
2025-12-01 07:04
7.9K
mint-x-icons-1.7.5-r0.apk
2025-12-01 07:04
23M
mint-themes-doc-2.3.7-r1.apk
2026-01-24 15:18
15K
mint-themes-2.3.7-r1.apk
2026-01-24 15:18
4.8M
minisatip-openrc-1.3.4-r0.apk
2024-10-25 21:10
1.9K
minisatip-1.3.4-r0.apk
2024-10-25 21:10
312K
minimodem-doc-0.24-r1.apk
2024-10-25 21:10
5.2K
minimodem-0.24-r1.apk
2024-10-25 21:10
20K
minikube-zsh-completion-1.34.0-r11.apk
2026-01-21 02:29
11K
minikube-fish-completion-1.34.0-r11.apk
2026-01-21 02:29
4.6K
minikube-bash-completion-1.34.0-r11.apk
2026-01-21 02:29
10K
minikube-1.34.0-r11.apk
2026-01-21 02:29
19M
minigalaxy-pyc-1.4.0-r0.apk
2025-07-12 08:30
135K
minigalaxy-1.4.0-r0.apk
2025-07-12 08:30
197K
minidyndns-openrc-1.3.0-r3.apk
2024-10-25 21:10
1.8K
minidyndns-doc-1.3.0-r3.apk
2024-10-25 21:10
5.1K
minidyndns-1.3.0-r3.apk
2024-10-25 21:10
12K
mimeo-pyc-2023-r2.apk
2024-10-25 21:10
42K
mimeo-2023-r2.apk
2024-10-25 21:10
28K
mimedefang-doc-3.6-r1.apk
2025-10-09 19:38
81K
mimedefang-3.6-r1.apk
2025-10-09 19:38
158K
mimalloc1-insecure-1.9.4-r0.apk
2025-11-05 15:28
71K
mimalloc1-dev-1.9.4-r0.apk
2025-11-05 15:28
510K
mimalloc1-debug-1.9.4-r0.apk
2025-11-05 15:28
196K
mimalloc1-1.9.4-r0.apk
2025-11-05 15:28
76K
milkytracker-doc-1.04.00-r2.apk
2024-10-25 21:10
50K
milkytracker-1.04.00-r2.apk
2024-10-25 21:10
1.0M
microsocks-openrc-1.0.5-r0.apk
2025-12-22 00:53
1.8K
microsocks-doc-1.0.5-r0.apk
2025-12-22 00:53
3.9K
microsocks-1.0.5-r0.apk
2025-12-22 00:53
8.4K
metricbeat-openrc-9.2.0-r2.apk
2026-01-17 23:42
2.0K
metricbeat-9.2.0-r2.apk
2026-01-17 23:42
45M
metalang99-1.13.3-r0.apk
2024-10-25 21:10
54K
metadata-cleaner-lang-2.5.6-r0.apk
2025-02-01 17:09
66K
metadata-cleaner-doc-2.5.6-r0.apk
2025-02-01 17:09
1.9M
metadata-cleaner-2.5.6-r0.apk
2025-02-01 17:09
49K
mergerfs-doc-2.41.1-r0.apk
2025-12-13 17:10
3.3K
mergerfs-2.41.1-r0.apk
2025-12-13 17:10
403K
menumaker-0.99.14-r1.apk
2024-10-25 21:10
111K
memray-pyc-1.19.1-r0.apk
2025-11-08 16:41
96K
memray-1.19.1-r0.apk
2025-11-08 16:41
1.8M
memdump-doc-1.01-r1.apk
2024-10-25 21:10
3.1K
memdump-1.01-r1.apk
2024-10-25 21:10
5.8K
melange-zsh-completion-0.37.5-r1.apk
2026-01-17 23:42
4.0K
melange-fish-completion-0.37.5-r1.apk
2026-01-17 23:42
4.3K
melange-bash-completion-0.37.5-r1.apk
2026-01-17 23:42
6.6K
melange-0.37.5-r1.apk
2026-01-17 23:42
11M
megazeux-doc-2.93d-r0.apk
2025-06-10 15:45
465K
megazeux-2.93d-r0.apk
2025-06-10 15:45
1.4M
megatools-doc-1.11.5.20250706-r0.apk
2025-07-30 16:58
52K
megatools-bash-completion-1.11.5.20250706-r0.apk
2025-07-30 16:58
4.1K
megatools-1.11.5.20250706-r0.apk
2025-07-30 16:58
63K
meep-dev-1.31.0-r1.apk
2025-08-19 15:04
507K
meep-1.31.0-r1.apk
2025-08-19 15:04
623K
mediastreamer2-plugin-x264-20200722-r6.apk
2024-10-25 21:10
8.7K
mediastreamer2-plugin-openh264-5.2.0_git20231020-r1.apk
2025-03-11 04:55
12K
mediastreamer2-doc-5.3.112-r1.apk
2025-10-19 15:37
108K
mediastreamer2-dev-5.3.112-r1.apk
2025-10-19 15:37
110K
mediastreamer2-5.3.112-r1.apk
2025-10-19 15:37
367K
mediascanner2-systemd-0.118-r4.apk
2025-11-17 14:13
1.8K
mediascanner2-0.118-r4.apk
2025-11-17 14:13
268K
mdp-doc-1.0.18-r0.apk
2025-07-12 08:30
3.9K
mdp-1.0.18-r0.apk
2025-07-12 08:30
16K
mdnsd-static-0.12-r1.apk
2024-10-25 21:10
19K
mdnsd-openrc-0.12-r1.apk
2024-10-25 21:10
2.1K
mdnsd-libs-0.12-r1.apk
2024-10-25 21:10
18K
mdnsd-doc-0.12-r1.apk
2024-10-25 21:10
14K
mdnsd-0.12-r1.apk
2024-10-25 21:10
24K
mdbook-plantuml-0.8.0-r0.apk
2024-10-25 21:10
885K
mdbook-linkcheck-0.7.7-r0.apk
2025-05-16 21:17
2.6M
mdbook-katex-0.9.4-r0.apk
2025-05-17 18:37
1.1M
mdbook-alerts-0.8.0-r0.apk
2025-09-26 14:14
679K
mdbook-admonish-1.20.0-r0.apk
2025-06-17 13:01
971K
md5ha1-0_git20171202-r1.apk
2024-10-25 21:10
9.0K
mcjoin-doc-2.11-r0.apk
2024-10-25 21:10
54K
mcjoin-2.11-r0.apk
2024-10-25 21:10
24K
mbrola-3.3-r0.apk
2024-10-25 21:10
22K
maxima-emacs-5.48.1-r9.apk
2025-08-22 12:16
111K
maxima-doc-extra-5.48.1-r9.apk
2025-08-22 12:16
9.6M
maxima-doc-5.48.1-r9.apk
2025-08-22 12:16
846K
maxima-bash-completion-5.48.1-r9.apk
2025-08-22 12:16
2.3K
maxima-5.48.1-r9.apk
2025-08-22 12:16
24M
mautrix-zulip-openrc-0.2511.0-r2.apk
2026-01-17 23:42
2.0K
mautrix-zulip-doc-0.2511.0-r2.apk
2026-01-17 23:42
13K
mautrix-zulip-0.2511.0-r2.apk
2026-01-17 23:42
6.1M
mautrix-linkedin-openrc-0.2512.0-r1.apk
2026-01-17 23:42
2.0K
mautrix-linkedin-doc-0.2512.0-r1.apk
2026-01-17 23:42
13K
mautrix-linkedin-0.2512.0-r1.apk
2026-01-17 23:42
6.0M
mattermost-desktop-6.0.3-r0.apk
2026-01-17 23:42
4.9M
materia-gtk4-20210322-r4.apk
2026-01-20 05:56
43K
materia-gtk3-20210322-r4.apk
2026-01-20 05:56
63K
materia-gtk-theme-20210322-r4.apk
2026-01-20 05:56
139K
materia-gnome-shell-20210322-r4.apk
2026-01-20 05:56
29K
materia-dark-gtk4-20210322-r4.apk
2026-01-20 05:56
29K
materia-dark-gtk3-20210322-r4.apk
2026-01-20 05:56
40K
materia-dark-gnome-shell-20210322-r4.apk
2026-01-20 05:56
29K
materia-dark-compact-gtk4-20210322-r4.apk
2026-01-20 05:56
29K
materia-dark-compact-gtk3-20210322-r4.apk
2026-01-20 05:56
40K
materia-dark-compact-gnome-shell-20210322-r4.apk
2026-01-20 05:56
29K
materia-dark-compact-chromium-20210322-r4.apk
2026-01-20 05:56
5.7K
materia-dark-compact-20210322-r4.apk
2026-01-20 05:56
1.7K
materia-dark-chromium-20210322-r4.apk
2026-01-20 05:56
5.7K
materia-dark-20210322-r4.apk
2026-01-20 05:56
1.7K
materia-compact-gtk4-20210322-r4.apk
2026-01-20 05:56
43K
materia-compact-gtk3-20210322-r4.apk
2026-01-20 05:56
63K
materia-compact-gnome-shell-20210322-r4.apk
2026-01-20 05:56
29K
materia-compact-chromium-20210322-r4.apk
2026-01-20 05:56
5.7K
materia-compact-20210322-r4.apk
2026-01-20 05:56
1.7K
materia-chromium-20210322-r4.apk
2026-01-20 05:56
5.7K
materia-20210322-r4.apk
2026-01-20 05:56
1.7K
mat2-pyc-0.13.5-r0.apk
2025-09-16 21:00
54K
mat2-doc-0.13.5-r0.apk
2025-09-16 21:00
7.7K
mat2-0.13.5-r0.apk
2025-09-16 21:00
35K
masky-pyc-0.2.0-r2.apk
2025-05-29 14:00
64K
masky-0.2.0-r2.apk
2025-05-29 14:00
277K
marxan-4.0.7-r1.apk
2024-10-25 21:10
537K
mapserver-dev-8.4.1-r1.apk
2025-12-04 19:49
540K
mapserver-8.4.1-r1.apk
2025-12-04 19:49
1.3M
mapnik-doc-4.1.4-r1.apk
2026-01-14 05:08
143K
mapnik-dev-4.1.4-r1.apk
2026-01-14 05:08
487K
mapnik-4.1.4-r1.apk
2026-01-14 05:08
12M
manifest-tool-2.2.1-r0.apk
2026-01-23 18:23
4.5M
mangr0ve-doc-0.1.2-r0.apk
2024-10-25 21:10
14K
mangr0ve-0.1.2-r0.apk
2024-10-25 21:10
2.8K
mangowc-0.11.0-r0.apk
2026-01-21 10:32
117K
mangal-zsh-completion-4.0.6-r24.apk
2026-01-17 23:42
4.0K
mangal-fish-completion-4.0.6-r24.apk
2026-01-17 23:42
3.9K
mangal-bash-completion-4.0.6-r24.apk
2026-01-17 23:42
5.0K
mangal-4.0.6-r24.apk
2026-01-17 23:42
9.9M
mame-tools-0.251-r0.apk
2024-10-25 21:10
2.5M
mame-plugins-0.251-r0.apk
2024-10-25 21:10
166K
mame-mess-0.251-r0.apk
2024-10-25 21:10
49M
mame-lang-0.251-r0.apk
2024-10-25 21:10
1.4M
mame-doc-0.251-r0.apk
2024-10-25 21:10
24K
mame-data-0.251-r0.apk
2024-10-25 21:10
19M
mame-common-0.251-r0.apk
2024-10-25 21:10
2.7K
mame-arcade-0.251-r0.apk
2024-10-25 21:10
64M
mame-0.251-r0.apk
2024-10-25 21:09
91M
malcontent-doc-0.13.1-r0.apk
2025-09-03 20:27
45K
malcontent-dev-0.13.1-r0.apk
2025-09-03 20:27
24K
malcontent-0.13.1-r0.apk
2025-09-03 20:27
160K
makeself-2.5.0-r0.apk
2024-10-25 21:09
13K
makedumpfile-openrc-1.7.8-r0.apk
2025-11-05 15:24
3.1K
makedumpfile-doc-1.7.8-r0.apk
2025-11-05 15:24
24K
makedumpfile-1.7.8-r0.apk
2025-11-05 15:24
151K
makeclapman-doc-2.4.4-r11.apk
2026-01-17 23:42
4.1K
makeclapman-2.4.4-r11.apk
2026-01-17 23:42
1.3M
mailsec-check-0_git20210729-r32.apk
2026-01-17 23:42
2.5M
maildir2rss-0.0.7-r11.apk
2026-01-17 23:42
3.4M
maildir-rank-addr-doc-1.4.1-r2.apk
2026-01-17 23:42
2.3K
maildir-rank-addr-1.4.1-r2.apk
2026-01-17 23:42
3.2M
mailctl-zsh-completion-0.9.2-r0.apk
2024-10-25 21:09
2.0K
mailctl-fish-completion-0.9.2-r0.apk
2024-10-25 21:09
1.9K
mailctl-doc-0.9.2-r0.apk
2024-10-25 21:09
5.0K
mailctl-bash-completion-0.9.2-r0.apk
2024-10-25 21:09
1.8K
mailctl-0.9.2-r0.apk
2024-10-25 21:09
7.4M
magpie-lang-0.9.4-r0.apk
2025-10-16 19:07
849K
magpie-dev-0.9.4-r0.apk
2025-10-16 19:07
246K
magpie-0.9.4-r0.apk
2025-10-16 19:07
1.8M
mage-1.13.0-r23.apk
2025-05-13 23:59
1.5M
macchina-doc-6.4.0-r0.apk
2025-06-25 05:45
5.7K
macchina-6.4.0-r0.apk
2025-06-25 05:45
935K
m2r2-pyc-0.3.4-r0.apk
2026-01-09 17:02
15K
m2r2-0.3.4-r0.apk
2026-01-09 17:02
12K
lyrics-in-terminal-1.7.0-r0.apk
2025-01-03 11:09
38K
lynis-doc-3.1.4-r0.apk
2025-07-29 10:08
50K
lynis-bash-completion-3.1.4-r0.apk
2025-07-29 10:08
3.0K
lynis-3.1.4-r0.apk
2025-07-29 10:08
276K
lychee-doc-0.19.1-r0.apk
2025-06-17 13:01
13K
lychee-0.19.1-r0.apk
2025-06-17 13:01
2.8M
lxqt-wayland-session-doc-0.3.0-r0.apk
2025-11-20 22:27
29K
lxqt-wayland-session-0.3.0-r0.apk
2025-11-20 22:27
339K
lxappearance-lang-0.6.3-r4.apk
2026-01-14 16:24
79K
lxappearance-doc-0.6.3-r4.apk
2026-01-14 16:24
2.6K
lxappearance-dev-0.6.3-r4.apk
2026-01-14 16:24
3.2K
lxappearance-0.6.3-r4.apk
2026-01-14 16:24
29K
lv_font_conv-doc-1.5.3-r0.apk
2025-08-19 21:54
5.0K
lv_font_conv-1.5.3-r0.apk
2025-08-19 21:54
1.1M
lutris-pyc-0.5.19-r1.apk
2025-09-16 20:08
1.1M
lutris-lang-0.5.19-r1.apk
2025-09-16 20:08
810K
lutris-doc-0.5.19-r1.apk
2025-09-16 20:08
2.3K
lutris-0.5.19-r1.apk
2025-09-16 20:08
819K
lutgen-zsh-completion-1.0.1-r0.apk
2025-11-19 21:11
1.7K
lutgen-fish-completion-1.0.1-r0.apk
2025-11-19 21:11
1.8K
lutgen-doc-1.0.1-r0.apk
2025-11-19 21:11
4.5K
lutgen-bash-completion-1.0.1-r0.apk
2025-11-19 21:11
1.7K
lutgen-1.0.1-r0.apk
2025-11-19 21:11
1.7M
lumins-0.4.0-r2.apk
2024-10-25 21:09
640K
lumina-desktop-textedit-1.6.2-r0.apk
2024-10-25 21:09
185K
lumina-desktop-sudo-1.6.2-r0.apk
2024-10-25 21:09
92K
lumina-desktop-screenshot-1.6.2-r0.apk
2024-10-25 21:09
160K
lumina-desktop-photo-1.6.2-r0.apk
2024-10-25 21:09
120K
lumina-desktop-mediaplayer-1.6.2-r0.apk
2024-10-25 21:09
192K
lumina-desktop-fm-1.6.2-r0.apk
2024-10-25 21:09
380K
lumina-desktop-fileinfo-1.6.2-r0.apk
2024-10-25 21:09
153K
lumina-desktop-doc-1.6.2-r0.apk
2024-10-25 21:09
12K
lumina-desktop-coreutils-1.6.2-r0.apk
2024-10-25 21:09
794K
lumina-desktop-core-1.6.2-r0.apk
2024-10-25 21:09
8.9M
lumina-desktop-archiver-1.6.2-r0.apk
2024-10-25 21:09
159K
lumina-desktop-1.6.2-r0.apk
2024-10-25 21:09
1.2K
luksmeta-doc-9-r0.apk
2024-10-25 21:09
5.5K
luksmeta-dev-9-r0.apk
2024-10-25 21:09
3.1K
luksmeta-9-r0.apk
2024-10-25 21:09
13K
luapak-0.1.0_beta5-r0.apk
2024-10-25 21:09
35K
luacov-html-1.0.0-r1.apk
2024-10-25 21:09
1.2K
lua5.4-luastatic-0.0.12-r1.apk
2024-10-25 21:09
9.1K
lua5.4-linenoise-0.9-r1.apk
2024-10-25 21:09
18K
lua5.4-editorconfig-0.3.0-r0.apk
2024-10-25 21:09
4.4K
lua5.4-cmark-0.31.1-r0.apk
2026-01-20 13:26
97K
lua5.3-psl-0.3-r0.apk
2024-10-25 21:09
6.0K
lua5.3-luastatic-0.0.12-r1.apk
2024-10-25 21:09
9.0K
lua5.3-luacov-html-1.0.0-r1.apk
2024-10-25 21:09
413K
lua5.3-linenoise-0.9-r1.apk
2024-10-25 21:09
18K
lua5.3-editorconfig-0.3.0-r0.apk
2024-10-25 21:09
4.4K
lua5.3-cmark-0.31.1-r0.apk
2026-01-20 13:26
97K
lua5.2-xml-1.1.3-r2.apk
2024-10-25 21:09
23K
lua5.2-ubus-2025.10.17-r0.apk
2025-10-25 14:56
9.4K
lua5.2-psl-0.3-r0.apk
2024-10-25 21:09
6.0K
lua5.2-luastatic-0.0.12-r1.apk
2024-10-25 21:09
9.0K
lua5.2-luacov-html-1.0.0-r1.apk
2024-10-25 21:09
413K
lua5.2-linenoise-0.9-r1.apk
2024-10-25 21:09
18K
lua5.2-libmodbus-0.6.1-r0.apk
2024-10-25 21:09
9.8K
lua5.2-editorconfig-0.3.0-r0.apk
2024-10-25 21:09
4.3K
lua5.2-cmark-0.31.1-r0.apk
2026-01-20 13:26
97K
lua5.1-xml-1.1.3-r2.apk
2024-10-25 21:09
23K
lua5.1-ubus-2025.10.17-r0.apk
2025-10-25 14:56
9.4K
lua5.1-psl-0.3-r0.apk
2024-10-25 21:09
6.1K
lua5.1-mqtt-3.4.3-r0.apk
2026-01-23 01:24
1.3K
lua5.1-luastatic-0.0.12-r1.apk
2024-10-25 21:09
79K
lua5.1-luacov-html-1.0.0-r1.apk
2024-10-25 21:09
413K
lua5.1-linenoise-0.9-r1.apk
2024-10-25 21:09
18K
lua5.1-libmodbus-0.6.1-r0.apk
2024-10-25 21:09
9.9K
lua5.1-libguestfs-1.56.1-r0.apk
2025-07-23 06:04
83K
lua5.1-lcurses-9.0.0-r0.apk
2024-10-25 21:09
23K
lua5.1-cmark-0.31.1-r0.apk
2026-01-20 13:26
97K
lua-xml-1.1.3-r2.apk
2024-10-25 21:09
1.4K
lua-resty-upload-0.11-r0.apk
2024-10-25 21:09
3.6K
lua-resty-redis-0.29-r0.apk
2024-10-25 21:09
5.3K
lua-psl-0.3-r0.apk
2024-10-25 21:09
1.1K
lua-pkgbuild-1.0.0-r0.apk
2026-01-23 01:22
3.6K
lua-mqtt-3.4.3-r0.apk
2026-01-23 01:24
26K
lua-markdown-doc-0.33-r0.apk
2026-01-23 01:17
2.3K
lua-markdown-0.33-r0.apk
2026-01-23 01:17
11K
lua-lut-1.2.1-r0.apk
2024-10-25 21:09
87K
lua-lupa-1.0-r0.apk
2024-10-25 21:09
20K
lua-luastatic-0.0.12-r1.apk
2024-10-25 21:09
1.5K
lua-linenoise-0.9-r1.apk
2024-10-25 21:09
1.2K
lua-libmodbus-doc-0.6.1-r0.apk
2024-10-25 21:09
19K
lua-libmodbus-0.6.1-r0.apk
2024-10-25 21:09
1.2K
lua-lcurses-9.0.0-r0.apk
2024-10-25 21:09
1.1K
lua-inet-0.2.0-r1.apk
2024-10-25 21:09
9.1K
lua-fn-0.1.0-r0.apk
2024-10-25 21:09
3.4K
lua-editorconfig-0.3.0-r0.apk
2024-10-25 21:09
1.2K
lua-dkjson-2.8-r0.apk
2026-01-23 01:28
8.6K
lua-cmark-0.31.1-r0.apk
2026-01-20 13:26
1.3K
lua-apkbuild-1.1.0-r0.apk
2026-01-23 01:25
4.5K
lspmux-doc-0.3.0-r0.apk
2025-11-05 15:23
6.6K
lspmux-0.3.0-r0.apk
2025-11-05 15:23
927K
lsix-1.8.2-r0.apk
2024-10-25 21:09
6.5K
lshell-pyc-0.9.18-r12.apk
2025-09-06 18:20
35K
lshell-doc-0.9.18-r12.apk
2025-09-06 18:20
25K
lshell-0.9.18-r12.apk
2025-09-06 18:20
36K
lsdvd-doc-0.17-r0.apk
2024-10-25 21:09
2.5K
lsdvd-0.17-r0.apk
2024-10-25 21:09
14K
lrcalc-libs-2.1-r1.apk
2024-10-25 21:09
26K
lrcalc-dev-2.1-r1.apk
2024-10-25 21:09
11K
lrcalc-2.1-r1.apk
2024-10-25 21:09
13K
lowjs-doc-1.6.2-r2.apk
2024-10-25 21:09
3.0K
lowjs-1.6.2-r2.apk
2024-10-25 21:09
1.3M
lout-doc-3.42.2-r0.apk
2024-10-25 21:09
453K
lout-3.42.2-r0.apk
2024-10-25 21:09
1.4M
lomiri-weather-app-lang-6.0.2-r0.apk
2025-03-11 13:02
214K
lomiri-weather-app-6.0.2-r0.apk
2025-03-11 13:02
300K
lomiri-url-dispatcher-lang-0.1.4-r0.apk
2025-05-09 19:27
26K
lomiri-url-dispatcher-dev-0.1.4-r0.apk
2025-05-09 19:27
3.3K
lomiri-url-dispatcher-0.1.4-r0.apk
2025-05-09 19:27
45K
lomiri-ui-toolkit-lang-1.3.5902-r0.apk
2026-01-26 14:57
99K
lomiri-ui-toolkit-dev-1.3.5902-r0.apk
2026-01-26 14:57
170K
lomiri-ui-toolkit-1.3.5902-r0.apk
2026-01-26 14:57
1.3M
lomiri-ui-extras-lang-0.8.0-r0.apk
2025-12-09 12:10
52K
lomiri-ui-extras-0.8.0-r0.apk
2025-12-09 12:10
254K
lomiri-trust-store-lang-2.0.2-r14.apk
2025-12-18 15:26
28K
lomiri-trust-store-dev-2.0.2-r14.apk
2025-12-18 15:26
9.1K
lomiri-trust-store-2.0.2-r14.apk
2025-12-18 15:26
936K
lomiri-thumbnailer-doc-3.1.0-r0.apk
2025-12-09 12:10
124K
lomiri-thumbnailer-dev-3.1.0-r0.apk
2025-12-09 12:10
5.1K
lomiri-thumbnailer-3.1.0-r0.apk
2025-12-09 12:10
216K
lomiri-terminal-app-lang-2.0.5-r0.apk
2025-05-09 19:27
91K
lomiri-terminal-app-doc-2.0.5-r0.apk
2025-05-09 19:27
2.7K
lomiri-terminal-app-2.0.5-r0.apk
2025-05-09 19:27
64K
lomiri-telephony-service-lang-0.6.2-r2.apk
2026-01-14 20:46
109K
lomiri-telephony-service-0.6.2-r2.apk
2026-01-14 20:46
970K
lomiri-system-settings-lang-1.3.2-r1.apk
2026-01-14 05:08
846K
lomiri-system-settings-1.3.2-r1.apk
2026-01-14 05:08
1.1M
lomiri-sounds-25.01-r0.apk
2025-08-19 22:08
18M
lomiri-settings-components-lang-1.1.3-r0.apk
2025-10-25 22:19
108K
lomiri-settings-components-1.1.3-r0.apk
2025-10-25 22:19
222K
lomiri-schemas-0.1.10-r0.apk
2026-01-25 22:15
10K
lomiri-notifications-1.3.1-r0.apk
2025-01-10 11:08
96K
lomiri-location-service-systemd-3.3.0-r6.apk
2026-01-15 19:17
2.1K
lomiri-location-service-lang-3.3.0-r6.apk
2026-01-15 19:17
26K
lomiri-location-service-doc-3.3.0-r6.apk
2026-01-15 19:17
2.9K
lomiri-location-service-dev-3.3.0-r6.apk
2026-01-15 19:17
30K
lomiri-location-service-3.3.0-r6.apk
2026-01-15 19:17
2.0M
lomiri-libusermetrics-lang-1.4.0-r0.apk
2025-12-09 12:10
45K
lomiri-libusermetrics-doc-1.4.0-r0.apk
2025-12-09 12:10
228K
lomiri-libusermetrics-dev-1.4.0-r0.apk
2025-12-09 12:10
7.8K
lomiri-libusermetrics-1.4.0-r0.apk
2025-12-09 12:10
217K
lomiri-lang-0.5.0-r4.apk
2025-12-18 15:26
269K
lomiri-indicator-network-lang-1.1.2-r0.apk
2025-09-08 03:00
199K
lomiri-indicator-network-doc-1.1.2-r0.apk
2025-09-08 03:00
2.1K
lomiri-indicator-network-dev-1.1.2-r0.apk
2025-09-08 03:00
9.5K
lomiri-indicator-network-1.1.2-r0.apk
2025-09-08 03:00
582K
lomiri-indicator-location-systemd-25.4.22-r1.apk
2025-11-17 14:13
1.8K
lomiri-indicator-location-lang-25.4.22-r1.apk
2025-11-17 14:13
27K
lomiri-indicator-location-25.4.22-r1.apk
2025-11-17 14:13
21K
lomiri-history-service-dev-0.6-r21.apk
2026-01-14 20:46
11K
lomiri-history-service-0.6-r21.apk
2026-01-14 20:46
349K
lomiri-gallery-app-lang-3.0.2-r2.apk
2025-08-19 11:31
106K
lomiri-gallery-app-3.0.2-r2.apk
2025-08-19 11:31
3.7M
lomiri-filemanager-app-lang-1.1.4-r0.apk
2025-08-19 22:08
180K
lomiri-filemanager-app-1.1.4-r0.apk
2025-08-19 22:08
335K
lomiri-download-manager-lang-0.3.0-r0.apk
2025-12-09 12:10
30K
lomiri-download-manager-doc-0.3.0-r0.apk
2025-12-09 12:10
3.4M
lomiri-download-manager-dev-0.3.0-r0.apk
2025-12-09 12:10
17K
lomiri-download-manager-0.3.0-r0.apk
2025-12-09 12:10
571K
lomiri-docviewer-app-lang-3.1.2-r0.apk
2025-08-19 22:08
138K
lomiri-docviewer-app-doc-3.1.2-r0.apk
2025-08-19 22:08
2.0K
lomiri-docviewer-app-3.1.2-r0.apk
2025-08-19 22:08
229K
lomiri-content-hub-lang-2.2.2-r0.apk
2026-01-25 22:15
47K
lomiri-content-hub-doc-2.2.2-r0.apk
2026-01-25 22:15
1.4M
lomiri-content-hub-dev-2.2.2-r0.apk
2026-01-25 22:15
11K
lomiri-content-hub-2.2.2-r0.apk
2026-01-25 22:15
275K
lomiri-clock-app-lang-4.1.1-r0.apk
2025-05-09 19:27
456K
lomiri-clock-app-4.1.1-r0.apk
2025-05-09 19:27
223K
lomiri-calculator-app-lang-4.1.0-r0.apk
2025-08-19 22:08
41K
lomiri-calculator-app-4.1.0-r0.apk
2025-08-19 22:08
382K
lomiri-app-launch-dev-0.1.12-r5.apk
2025-12-18 15:26
20K
lomiri-app-launch-0.1.12-r5.apk
2025-12-18 15:26
343K
lomiri-api-dev-0.2.3-r0.apk
2025-10-25 22:19
32K
lomiri-api-0.2.3-r0.apk
2025-10-25 22:19
32K
lomiri-action-api-dev-1.2.1-r0.apk
2025-10-25 22:19
5.0K
lomiri-action-api-1.2.1-r0.apk
2025-10-25 22:19
79K
lomiri-0.5.0-r4.apk
2025-12-18 15:26
3.9M
lolcat-1.4-r0.apk
2024-10-25 21:09
11K
lol-html-dev-1.1.1-r1.apk
2024-10-25 21:09
6.5K
lol-html-1.1.1-r1.apk
2024-10-25 21:09
425K
logtop-libs-0.7-r1.apk
2025-08-10 03:01
15K
logtop-doc-0.7-r1.apk
2025-08-10 03:01
2.8K
logtop-0.7-r1.apk
2025-08-10 03:01
14K
logc-libs-dev-0.1.0-r0.apk
2024-10-25 21:09
5.7K
logc-libs-0.1.0-r0.apk
2024-10-25 21:09
1.5K
logc-libevent-0.1.0-r0.apk
2024-10-25 21:09
3.6K
logc-dev-0.5.0-r1.apk
2025-06-13 17:19
8.6K
logc-czmq-0.1.0-r0.apk
2024-10-25 21:09
4.3K
logc-config-0.5.0-r1.apk
2025-06-13 17:19
5.4K
logc-argp-0.5.0-r1.apk
2025-06-13 17:19
16K
logc-0.5.0-r1.apk
2025-06-13 17:19
8.5K
log4cxx-dev-1.5.0-r0.apk
2026-01-08 03:33
149K
log4cxx-1.5.0-r0.apk
2026-01-08 03:33
510K
log4cpp-dev-1.1.4-r1.apk
2024-10-25 21:09
39K
log4cpp-1.1.4-r1.apk
2024-10-25 21:09
69K
lockrun-1.1.3-r1.apk
2024-10-25 21:09
5.5K
llmnrd-openrc-0.7-r1.apk
2024-10-25 21:09
1.9K
llmnrd-doc-0.7-r1.apk
2024-10-25 21:09
3.0K
llmnrd-0.7-r1.apk
2024-10-25 21:09
18K
lldap-0.6.1-r0.apk
2025-03-26 12:51
9.4M
lkrg-doc-0.9.6-r1.apk
2025-01-09 12:44
22K
lkrg-0.9.6-r1.apk
2025-01-09 12:44
105K
lizardfs-metalogger-openrc-3.13.0-r17.apk
2025-06-19 10:44
1.6K
lizardfs-metalogger-3.13.0-r17.apk
2025-06-19 10:44
126K
lizardfs-master-openrc-3.13.0-r17.apk
2025-06-19 10:44
1.6K
lizardfs-master-3.13.0-r17.apk
2025-06-19 10:44
840K
lizardfs-doc-3.13.0-r17.apk
2025-06-19 10:44
11K
lizardfs-client-3.13.0-r17.apk
2025-06-19 10:44
1.1M
lizardfs-chunkserver-openrc-3.13.0-r17.apk
2025-06-19 10:44
1.7K
lizardfs-chunkserver-3.13.0-r17.apk
2025-06-19 10:44
310K
lizardfs-cgiserv-openrc-3.13.0-r17.apk
2025-06-19 10:44
2.0K
lizardfs-cgiserv-3.13.0-r17.apk
2025-06-19 10:44
7.4K
lizardfs-cgi-3.13.0-r17.apk
2025-06-19 10:44
31K
lizardfs-bash-completion-3.13.0-r17.apk
2025-06-19 10:44
1.9K
lizardfs-3.13.0-r17.apk
2025-06-19 10:44
108K
litterbox-doc-1.9-r2.apk
2025-09-13 03:00
7.2K
litterbox-1.9-r2.apk
2025-09-13 03:00
34K
litehtml-static-0.9-r2.apk
2025-02-17 16:07
510K
litehtml-dev-0.9-r2.apk
2025-02-17 16:07
42K
litehtml-0.9-r2.apk
2025-02-17 16:07
305K
liquid-dsp-dev-1.5.0-r0.apk
2024-10-25 21:09
519K
liquid-dsp-1.5.0-r0.apk
2024-10-25 21:09
346K
liquibase-doc-4.9.1-r0.apk
2024-10-25 21:09
57K
liquibase-4.9.1-r0.apk
2024-10-25 21:09
32M
linuxwave-doc-0.3.0-r0.apk
2025-05-26 20:36
3.3K
linuxwave-0.3.0-r0.apk
2025-05-26 20:36
172K
linuxptp-tz2alt-4.4-r0.apk
2024-11-20 01:45
20K
linuxptp-ts2phc-4.4-r0.apk
2024-11-20 01:45
35K
linuxptp-timemaster-4.4-r0.apk
2024-11-20 01:45
16K
linuxptp-ptp4l-4.4-r0.apk
2024-11-20 01:45
78K
linuxptp-pmc-4.4-r0.apk
2024-11-20 01:45
35K
linuxptp-phc_ctl-4.4-r0.apk
2024-11-20 01:45
10K
linuxptp-phc2sys-4.4-r0.apk
2024-11-20 01:45
36K
linuxptp-nsm-4.4-r0.apk
2024-11-20 01:45
32K
linuxptp-hwstamp_ctl-4.4-r0.apk
2024-11-20 01:45
4.7K
linuxptp-doc-4.4-r0.apk
2024-11-20 01:45
38K
linuxptp-4.4-r0.apk
2024-11-20 01:45
1.2K
linuxkit-doc-1.8.2-r2.apk
2026-01-17 23:42
10K
linuxkit-1.8.2-r2.apk
2026-01-17 23:42
13M
linux-timemachine-1.3.2-r0.apk
2024-10-25 21:09
5.1K
linux-openvfd-0_git20220906-r0.apk
2024-10-25 21:09
9.0K
linux-gru-6.11.0-r0.apk
2024-10-25 21:09
26M
linux-gpib-udev-4.3.7-r0.apk
2025-12-07 00:07
2.6K
linux-gpib-doc-4.3.7-r0.apk
2025-12-07 00:07
326K
linux-gpib-dev-4.3.7-r0.apk
2025-12-07 00:07
7.7K
linux-gpib-4.3.7-r0.apk
2025-12-07 00:07
65K
linux-elm-6.16.5-r0.apk
2025-09-09 11:47
19M
linux-asahi-dev-6.18.4_p1-r0.apk
2026-01-10 14:26
23M
linux-asahi-6.18.4_p1-r0.apk
2026-01-10 14:26
20M
linux-apfs-rw-src-0.3.8-r0.apk
2024-10-25 21:09
197K
linphone-libs-5.3.38-r0.apk
2024-10-25 21:09
2.8M
linphone-dev-5.3.38-r0.apk
2024-10-25 21:09
250K
linphone-5.3.38-r0.apk
2024-10-25 21:09
9.0M
linkquisition-1.6.1-r11.apk
2026-01-17 23:42
12M
linkchecker-pyc-10.6.0-r0.apk
2025-08-10 13:38
254K
linkchecker-doc-10.6.0-r0.apk
2025-08-10 13:38
39K
linkchecker-10.6.0-r0.apk
2025-08-10 13:38
181K
limnoria-pyc-20240828-r0.apk
2024-10-25 21:09
1.2M
limnoria-doc-20240828-r0.apk
2024-10-25 21:09
8.4K
limnoria-20240828-r0.apk
2024-10-25 21:09
1.1M
limkd-doc-0.1.2-r0.apk
2024-10-25 21:09
3.0K
limkd-0.1.2-r0.apk
2024-10-25 21:09
54K
lima-zsh-completion-1.2.1-r2.apk
2026-01-17 23:42
4.0K
lima-guestagent-1.2.1-r2.apk
2026-01-17 23:42
13M
lima-fish-completion-1.2.1-r2.apk
2026-01-17 23:42
4.3K
lima-doc-1.2.1-r2.apk
2026-01-17 23:42
62K
lima-bash-completion-1.2.1-r2.apk
2026-01-17 23:42
6.1K
lima-1.2.1-r2.apk
2026-01-17 23:42
10M
lidarr-openrc-3.1.0.4875-r0.apk
2025-12-13 18:30
2.0K
lidarr-3.1.0.4875-r0.apk
2025-12-13 18:30
21M
licenseheaders-pyc-0.8.8-r4.apk
2024-10-25 21:09
18K
licenseheaders-0.8.8-r4.apk
2024-10-25 21:09
18K
libzvbi-static-0.2.44-r0.apk
2025-03-11 23:54
289K
libzvbi-dev-0.2.44-r0.apk
2025-03-11 23:54
14K
libzvbi-0.2.44-r0.apk
2025-03-11 23:54
219K
libzrtpcpp-dev-4.7.0-r0.apk
2025-01-04 22:55
38K
libzrtpcpp-4.7.0-r0.apk
2025-01-04 22:55
158K
libxo-doc-1.7.5-r0.apk
2025-01-12 23:45
63K
libxo-dev-1.7.5-r0.apk
2025-01-12 23:45
77K
libxo-1.7.5-r0.apk
2025-01-12 23:45
186K
libxml++-dev-5.4.0-r0.apk
2025-02-13 08:45
29K
libxml++-5.4.0-r0.apk
2025-02-13 08:45
64K
libwhich-1.2.0-r0.apk
2024-10-25 21:09
4.5K
libwbxml-doc-0.11.8-r0.apk
2024-10-25 21:09
28K
libwbxml-dev-0.11.8-r0.apk
2024-10-25 21:09
9.0K
libwbxml-0.11.8-r0.apk
2024-10-25 21:09
74K
libwasmtime-static-39.0.1-r0.apk
2025-12-08 22:09
6.2M
libwasmtime-39.0.1-r0.apk
2025-12-08 22:09
3.5M
libvoikko-doc-4.3.2-r1.apk
2024-10-25 21:09
5.7K
libvoikko-dev-4.3.2-r1.apk
2024-10-25 21:09
9.9K
libvoikko-4.3.2-r1.apk
2024-10-25 21:09
130K
libvmaf-dev-3.0.0-r0.apk
2024-10-25 21:09
197K
libvmaf-3.0.0-r0.apk
2024-10-25 21:09
334K
libvisio2svg-utils-0.5.5-r3.apk
2024-10-25 21:09
117K
libvisio2svg-dev-0.5.5-r3.apk
2024-10-25 21:09
2.9K
libvisio2svg-0.5.5-r3.apk
2024-10-25 21:09
16K
libvdpau-va-gl-0.4.2-r0.apk
2024-10-25 21:09
56K
libvalkey-tls-0.2.1-r0.apk
2025-11-17 12:56
6.6K
libvalkey-dev-0.2.1-r0.apk
2025-11-17 12:56
319K
libvalkey-0.2.1-r0.apk
2025-11-17 12:56
58K
libupstart-2.0.3-r5.apk
2024-10-25 21:09
52K
libuninameslist-doc-20230916-r0.apk
2024-10-25 21:09
2.0K
libuninameslist-dev-20230916-r0.apk
2024-10-25 21:09
3.5K
libuninameslist-20230916-r0.apk
2024-10-25 21:09
563K
libuecc-dev-7-r4.apk
2025-03-03 17:40
4.6K
libuecc-7-r4.apk
2025-03-03 17:40
9.7K
libucl-doc-0.9.0-r0.apk
2024-10-25 21:09
8.9K
libucl-dev-0.9.0-r0.apk
2024-10-25 21:09
83K
libucl-0.9.0-r0.apk
2024-10-25 21:09
54K
libtsm-dev-4.3.0-r0.apk
2026-01-09 17:02
8.1K
libtsm-4.3.0-r0.apk
2026-01-09 17:02
26K
libtins-doc-4.5-r2.apk
2025-10-15 10:21
2.3K
libtins-dev-4.5-r2.apk
2025-10-15 10:21
138K
libtins-4.5-r2.apk
2025-10-15 10:21
291K
libtcmu-dev-1.6.0-r6.apk
2024-10-25 21:09
1.5K
libtcmu-1.6.0-r6.apk
2024-10-25 21:09
38K
libstirshaken-tools-0_git20240208-r4.apk
2025-05-03 22:03
167K
libstirshaken-dev-0_git20240208-r4.apk
2025-05-03 22:03
81K
libstirshaken-0_git20240208-r4.apk
2025-05-03 22:03
52K
libsquish-libs-1.15-r0.apk
2025-12-29 18:36
21K
libsquish-doc-1.15-r0.apk
2025-12-29 18:36
2.3K
libsquish-dev-1.15-r0.apk
2025-12-29 18:36
4.8K
libsquish-1.15-r0.apk
2025-12-29 18:36
1.2K
libsirocco-dev-2.1.1-r0.apk
2025-08-31 19:16
2.2K
libsirocco-2.1.1-r0.apk
2025-08-31 19:16
60K
libsimplebluez-0.10.4-r0.apk
2026-01-13 04:12
179K
libsimpleble-c-0.10.4-r0.apk
2026-01-13 04:12
15K
libsimpleble-0.10.4-r0.apk
2026-01-13 04:12
386K
libsigrokdecode-dev-0.5.3-r4.apk
2024-10-25 21:09
39K
libsigrokdecode-0.5.3-r4.apk
2024-10-25 21:09
336K
libsigrok-dev-0.5.2-r3.apk
2024-10-25 21:09
31K
libsigrok-0.5.2-r3.apk
2024-10-25 21:09
481K
libshadowsocks-libev-3.3.5-r4.apk
2024-10-25 21:09
49K
libserialport-dev-0.1.1-r1.apk
2024-10-25 21:09
39K
libserialport-0.1.1-r1.apk
2024-10-25 21:09
19K
libsemigroups-static-2.7.3-r1.apk
2024-12-14 20:38
1.5M
libsemigroups-dev-2.7.3-r1.apk
2024-12-14 20:38
335K
libsemigroups-2.7.3-r1.apk
2024-12-14 20:38
707K
libsemanage-doc-3.6-r1.apk
2024-10-25 21:09
23K
libsemanage-dev-3.6-r1.apk
2024-10-25 21:09
150K
libsemanage-3.6-r1.apk
2024-10-25 21:09
93K
libsds-dev-2.0.0-r1.apk
2024-10-25 21:09
3.8K
libsds-2.0.0-r1.apk
2024-10-25 21:09
9.6K
libsbsms-dev-2.3.0-r0.apk
2024-10-25 21:09
124K
libsbsms-2.3.0-r0.apk
2024-10-25 21:09
103K
libretro-xrick-0_git20220331-r0.apk
2024-10-25 21:09
119K
libretro-tyrquake-0_git20220409-r0.apk
2024-10-25 21:09
395K
libretro-theodore-3.1-r0.apk
2024-10-25 21:09
873K
libretro-snes9x-0_git20240819-r0.apk
2024-10-25 21:09
639K
libretro-scummvm-0_git20210325-r0.apk
2024-10-25 21:09
20M
libretro-ppsspp-0_git20210516-r15.apk
2025-04-10 22:50
2.3M
libretro-pocketcdg-0_git20220327-r0.apk
2024-10-25 21:09
86K
libretro-picodrive-0_git20220405-r0.apk
2024-10-25 21:09
545K
libretro-pcsx-rearmed-0_git20220409-r0.apk
2024-10-25 21:09
490K
libretro-parallel-n64-0_git20220406-r0.apk
2024-10-25 21:09
872K
libretro-opera-0_git20211214-r0.apk
2024-10-25 21:09
172K
libretro-openlara-0_git20210121-r0.apk
2024-10-25 21:09
502K
libretro-nxengine-0_git20220301-r0.apk
2024-10-25 21:09
298K
libretro-neocd-0_git20220325-r1.apk
2024-10-25 21:09
425K
libretro-mu-0_git20220317-r0.apk
2024-10-25 21:09
168K
libretro-mame2003-0_git20240904-r0.apk
2024-10-25 21:09
6.5M
libretro-mame2000-0_git20240701-r0.apk
2026-01-09 17:02
2.5M
libretro-gw-0_git20220410-r0.apk
2024-10-25 21:09
187K
libretro-gong-0_git20220319-r0.apk
2024-10-25 21:09
8.1K
libretro-gme-0_git20240628-r0.apk
2024-10-25 21:09
186K
libretro-genesis-plus-gx-0_git20230503-r0.apk
2024-10-25 21:09
1.0M
libretro-fuse-0_git20220417-r0.apk
2024-10-25 21:09
890K
libretro-frodo-0_git20221221-r0.apk
2024-10-25 21:09
162K
libretro-freeintv-0_git20220319-r0.apk
2024-10-25 21:09
35K
libretro-flycast-0_git20220406-r4.apk
2025-12-20 16:22
1.6M
libretro-fbneo-0_git20220416-r0.apk
2024-10-25 21:09
11M
libretro-dolphin-0_git20220407-r2.apk
2024-10-25 21:09
3.1M
libretro-dinothawr-0_git20220401-r0.apk
2024-10-25 21:09
126K
libretro-daphne-0_git20210108-r2.apk
2024-10-25 21:09
534K
libretro-crocods-0_git20210314-r1.apk
2024-10-25 21:09
278K
libretro-cap32-0_git20220419-r0.apk
2024-10-25 21:09
299K
libretro-cannonball-0_git20220309-r6.apk
2024-10-25 21:09
238K
libretro-bluemsx-0_git20240808-r0.apk
2024-10-25 21:09
634K
libretro-beetle-supergrafx-0_git20220218-r0.apk
2024-10-25 21:09
450K
libretro-beetle-saturn-0_git20220417-r0.apk
2024-10-25 21:09
1.4M
libretro-beetle-pcfx-0_git20220409-r0.apk
2024-10-25 21:09
315K
libretro-beetle-pce-fast-0_git20220205-r0.apk
2024-10-25 21:09
445K
libretro-atari800-0_git20240924-r0.apk
2024-10-25 21:09
268K
libresprite-doc-1.2-r0.apk
2025-04-14 00:16
15K
libresprite-1.2-r0.apk
2025-04-14 00:16
15M
librespot-openrc-0.8.0-r0.apk
2025-11-19 19:26
1.9K
librespot-0.8.0-r0.apk
2025-11-19 19:26
2.2M
libreoffice-voikko-5.0_git20200127-r0.apk
2024-10-25 21:09
45K
libre-dev-4.4.0-r0.apk
2026-01-24 13:59
485K
libre-4.4.0-r0.apk
2026-01-24 13:59
295K
libqtdbustest-0.4.0-r0.apk
2025-09-03 21:33
33K
libqtdbusmock-0.9.1-r2.apk
2025-02-17 16:07
68K
libqofono-qt6-0.124-r0.apk
2025-01-10 17:19
404K
libqofono-qt5-0.124-r0.apk
2025-01-10 17:19
286K
libqofono-dev-0.124-r0.apk
2025-01-10 17:19
42K
libqofono-0.124-r0.apk
2025-01-10 17:19
1.2K
libopensles-standalone-dev-0_git20250913-r0.apk
2025-09-22 15:30
1.6K
libopensles-standalone-dbg-0_git20250913-r0.apk
2025-09-22 15:30
246K
libopensles-standalone-0_git20250913-r0.apk
2025-09-22 15:30
37K
liboggz-doc-1.1.1-r2.apk
2024-10-25 21:09
134K
liboggz-dev-1.1.1-r2.apk
2024-10-25 21:09
173K
liboggz-1.1.1-r2.apk
2024-10-25 21:09
119K
libofx-tools-0.10.9-r1.apk
2024-10-25 21:09
105K
libofx-dev-0.10.9-r1.apk
2024-10-25 21:09
20K
libofx-0.10.9-r1.apk
2024-10-25 21:09
63K
libnxml-dev-0.18.3-r0.apk
2024-10-25 21:09
29K
libnxml-0.18.3-r0.apk
2024-10-25 21:09
20K
libnih-doc-1.0.3-r7.apk
2024-10-25 21:09
2.7K
libnih-dev-1.0.3-r7.apk
2024-10-25 21:09
121K
libnih-1.0.3-r7.apk
2024-10-25 21:09
110K
libnfcdef-dev-1.1.0-r0.apk
2026-01-02 18:16
6.0K
libnfcdef-1.1.0-r0.apk
2026-01-02 18:16
13K
libnest2d-dev-0.4-r7.apk
2025-02-06 05:44
70K
libnest2d-0.4-r7.apk
2025-02-06 05:44
1.2K
libneo4j-client-dev-2.2.0-r3.apk
2024-10-25 21:09
117K
libneo4j-client-2.2.0-r3.apk
2024-10-25 21:09
70K
libmysofa-tools-1.3.2-r0.apk
2024-10-25 21:09
1.1M
libmysofa-dev-1.3.2-r0.apk
2024-10-25 21:09
7.0K
libmysofa-1.3.2-r0.apk
2024-10-25 21:09
25K
libmygpo-qt-dev-1.1.0-r2.apk
2024-10-25 21:09
12K
libmygpo-qt-1.1.0-r2.apk
2024-10-25 21:09
84K
libmustache-0.5.0-r1.apk
2024-10-25 21:09
81K
libmrss-dev-0.19.2-r1.apk
2024-10-25 21:09
30K
libmrss-0.19.2-r1.apk
2024-10-25 21:09
20K
libmpfi-static-1.5.4-r2.apk
2024-10-25 21:09
53K
libmpfi-doc-1.5.4-r2.apk
2024-10-25 21:09
19K
libmpfi-dev-1.5.4-r2.apk
2024-10-25 21:09
5.4K
libmpfi-1.5.4-r2.apk
2024-10-25 21:09
36K
libmhash-doc-0.9.9.9-r3.apk
2024-10-25 21:09
8.1K
libmhash-dev-0.9.9.9-r3.apk
2024-10-25 21:09
109K
libmhash-0.9.9.9-r3.apk
2024-10-25 21:09
96K
libmdf-dev-1.0.29-r0.apk
2024-10-25 21:09
14K
libmdf-1.0.29-r0.apk
2024-10-25 21:09
35K
libmdbx-doc-0.11.8-r0.apk
2024-10-25 21:09
8.8K
libmdbx-dev-0.11.8-r0.apk
2024-10-25 21:09
93K
libmdbx-dbg-0.11.8-r0.apk
2024-10-25 21:09
2.4M
libmdbx-0.11.8-r0.apk
2024-10-25 21:09
684K
liblastfm-qt-dev-1.1.10_git20190823-r3.apk
2024-10-25 21:09
20K
liblastfm-qt-1.1.10_git20190823-r3.apk
2024-10-25 21:09
160K
libjodycode-doc-3.1.1-r0.apk
2024-10-25 21:09
3.7K
libjodycode-dev-3.1.1-r0.apk
2024-10-25 21:09
4.2K
libjodycode-3.1.1-r0.apk
2024-10-25 21:09
8.1K
libiscsi-utils-1.19.0-r2.apk
2024-10-25 21:09
91K
libiscsi-static-1.19.0-r2.apk
2024-10-25 21:09
74K
libiscsi-doc-1.19.0-r2.apk
2024-10-25 21:09
9.3K
libiscsi-dev-1.19.0-r2.apk
2024-10-25 21:09
20K
libiscsi-1.19.0-r2.apk
2024-10-25 21:09
59K
libirecovery-progs-1.3.0-r0.apk
2025-10-09 19:38
8.5K
libirecovery-dev-1.3.0-r0.apk
2025-10-09 19:38
4.2K
libirecovery-1.3.0-r0.apk
2025-10-09 19:38
25K
libinfnoise-0.3.3-r0.apk
2025-05-26 10:58
13K
libiio-tools-0.25-r2.apk
2024-10-25 21:09
97K
libiio-pyc-0.25-r2.apk
2024-10-25 21:09
21K
libiio-doc-0.25-r2.apk
2024-10-25 21:09
18K
libiio-dev-0.25-r2.apk
2024-10-25 21:09
13K
libiio-0.25-r2.apk
2024-10-25 21:09
53K
libigraph-dev-1.0.1-r0.apk
2025-12-30 14:36
90K
libigraph-1.0.1-r0.apk
2025-12-30 14:36
1.7M
libideviceactivation-doc-1.1.1-r5.apk
2024-10-30 23:44
2.2K
libideviceactivation-dev-1.1.1-r5.apk
2024-10-30 23:44
3.3K
libideviceactivation-1.1.1-r5.apk
2024-10-30 23:44
18K
libhwpwm-doc-0.4.4-r0.apk
2024-10-25 21:09
13K
libhwpwm-dev-0.4.4-r0.apk
2024-10-25 21:09
5.7K
libhwpwm-0.4.4-r0.apk
2024-10-25 21:09
5.6K
libhomfly-dev-1.02_p6-r1.apk
2024-10-25 21:09
19K
libhomfly-1.02_p6-r1.apk
2024-10-25 21:09
15K
libguestfs-static-1.56.1-r0.apk
2025-07-23 06:04
510K
libguestfs-doc-1.56.1-r0.apk
2025-07-23 06:04
569K
libguestfs-dev-1.56.1-r0.apk
2025-07-23 06:04
29K
libguestfs-1.56.1-r0.apk
2025-07-23 06:04
314K
libgrapheme-doc-2.0.2-r0.apk
2025-07-23 06:04
21K
libgrapheme-dev-2.0.2-r0.apk
2025-07-23 06:04
32K
libgrapheme-2.0.2-r0.apk
2025-07-23 06:04
25K
libglib-testing-doc-0.1.1-r0.apk
2025-05-08 14:22
25K
libglib-testing-dev-0.1.1-r0.apk
2025-05-08 14:22
5.5K
libglib-testing-0.1.1-r0.apk
2025-05-08 14:22
13K
libgivaro-static-4.2.0-r2.apk
2024-10-25 21:09
96K
libgivaro-dev-4.2.0-r2.apk
2024-10-25 21:09
244K
libgivaro-4.2.0-r2.apk
2024-10-25 21:09
76K
libgdcm-3.2.2-r3.apk
2025-12-02 06:55
2.5M
libfort-dev-0.4.2-r0.apk
2024-10-25 21:09
17K
libfort-0.4.2-r0.apk
2024-10-25 21:09
32K
libfoma-0.10.0_git20240712-r0.apk
2024-10-25 21:09
103K
libfishsound-doc-1.0.0-r1.apk
2024-10-25 21:09
75K
libfishsound-dev-1.0.0-r1.apk
2024-10-25 21:09
58K
libfishsound-1.0.0-r1.apk
2024-10-25 21:09
9.5K
libettercap-0.8.3.1-r3.apk
2024-10-25 21:09
197K
libetebase-dev-0.5.8-r0.apk
2025-09-27 21:40
11K
libetebase-0.5.8-r0.apk
2025-09-27 21:40
764K
liberasurecode-dev-1.6.3-r1.apk
2024-10-25 21:09
18K
liberasurecode-1.6.3-r1.apk
2024-10-25 21:09
43K
libemf2svg-utils-1.1.0-r3.apk
2025-10-09 19:38
18K
libemf2svg-1.1.0-r3.apk
2025-10-09 19:38
154K
libecap-static-1.0.1-r1.apk
2024-10-25 21:09
18K
libecap-dev-1.0.1-r1.apk
2024-10-25 21:09
11K
libecap-1.0.1-r1.apk
2024-10-25 21:09
13K
libeantic-dev-2.1.0-r2.apk
2025-11-26 09:24
18K
libeantic-2.1.0-r2.apk
2025-11-26 09:24
74K
libdng-utils-0.2.1-r0.apk
2024-12-27 23:09
6.2K
libdng-doc-0.2.1-r0.apk
2024-12-27 23:09
4.2K
libdng-dev-0.2.1-r0.apk
2024-12-27 23:09
3.2K
libdng-0.2.1-r0.apk
2024-12-27 23:09
11K
libdcmtk-3.7.0-r0.apk
2025-12-28 21:54
6.3M
libdbusaccess-dev-1.0.20-r1.apk
2025-08-10 03:01
5.0K
libdbusaccess-1.0.20-r1.apk
2025-08-10 03:01
17K
libcyaml-static-1.4.2-r0.apk
2024-10-25 21:09
23K
libcyaml-doc-1.4.2-r0.apk
2024-10-25 21:09
8.6K
libcyaml-dev-1.4.2-r0.apk
2024-10-25 21:09
13K
libcyaml-1.4.2-r0.apk
2024-10-25 21:09
20K
libctl-doc-4.5.1-r1.apk
2024-10-25 21:09
3.0K
libctl-dev-4.5.1-r1.apk
2024-10-25 21:09
39K
libctl-4.5.1-r1.apk
2024-10-25 21:09
91K
libcotp-dev-3.1.1-r0.apk
2025-10-04 09:13
2.5K
libcotp-3.1.1-r0.apk
2025-10-04 09:13
9.7K
libcorkipset-tools-1.1.1-r4.apk
2024-10-25 21:09
16K
libcorkipset-dev-1.1.1-r4.apk
2024-10-25 21:09
8.1K
libcorkipset-1.1.1-r4.apk
2024-10-25 21:09
14K
libcork-tools-0.15.0-r7.apk
2024-10-25 21:09
4.8K
libcork-dev-0.15.0-r7.apk
2024-10-25 21:09
30K
libcork-0.15.0-r7.apk
2024-10-25 21:09
36K
libcob4-3.2-r0.apk
2025-07-28 16:02
211K
libcli-1.10.7-r0.apk
2024-10-25 21:09
29K
libcec-rpi-dev-6.0.2-r4.apk
2024-10-25 21:09
25K
libcec-rpi-6.0.2-r4.apk
2024-10-25 21:09
184K
libbsoncxx-dev-3.8.0-r0.apk
2024-10-25 21:09
39K
libbsoncxx-3.8.0-r0.apk
2024-10-25 21:09
44K
libbloom-dev-2.0-r0.apk
2024-10-25 21:09
3.5K
libbloom-2.0-r0.apk
2024-10-25 21:09
7.7K
libblastrampoline-dev-5.2.0-r0.apk
2024-10-25 21:09
94K
libblastrampoline-5.2.0-r0.apk
2024-10-25 21:09
329K
libbamf-doc-0.5.6-r1.apk
2024-10-25 21:09
31K
libbamf-dev-0.5.6-r1.apk
2024-10-25 21:09
6.4K
libbamf-0.5.6-r1.apk
2024-10-25 21:09
153K
libb64-doc-2.0.0.1-r0.apk
2024-10-25 21:09
8.0K
libb64-dev-2.0.0.1-r0.apk
2024-10-25 21:09
5.5K
libb64-2.0.0.1-r0.apk
2024-10-25 21:09
4.5K
libaudec-tools-0.3.4-r3.apk
2024-10-25 21:09
28K
libaudec-static-0.3.4-r3.apk
2024-10-25 21:09
31K
libaudec-dev-0.3.4-r3.apk
2024-10-25 21:09
4.3K
libaudec-0.3.4-r3.apk
2024-10-25 21:09
28K
libantlr4-dev-4.13.2-r0.apk
2024-12-12 12:12
925K
libantlr4-4.13.2-r0.apk
2024-12-12 12:12
427K
libantlr3c-dev-3.4-r3.apk
2024-10-25 21:09
58K
libantlr3c-3.4-r3.apk
2024-10-25 21:09
49K
libandroidfw-dev-0_git20251009-r1.apk
2026-01-14 05:08
7.1K
libandroidfw-0_git20251009-r1.apk
2026-01-14 05:08
365K
libabigail-tools-2.8-r0.apk
2025-11-02 20:50
121K
libabigail-doc-2.8-r0.apk
2025-11-02 20:50
77K
libabigail-dev-2.8-r0.apk
2025-11-02 20:50
1.5M
libabigail-bash-completion-2.8-r0.apk
2025-11-02 20:50
2.8K
libabigail-2.8-r0.apk
2025-11-02 20:50
967K
lgogdownloader-doc-3.18-r0.apk
2025-12-31 22:51
8.5K
lgogdownloader-3.18-r0.apk
2025-12-31 22:51
352K
lfm-pyc-3.1-r4.apk
2024-10-25 21:09
134K
lfm-doc-3.1-r4.apk
2024-10-25 21:09
2.8K
lfm-3.1-r4.apk
2024-10-25 21:09
88K
levmar-dev-2.6-r0.apk
2024-10-25 21:09
48K
leptosfmt-doc-0.1.33-r0.apk
2025-03-26 12:50
6.0K
leptosfmt-0.1.33-r0.apk
2025-03-26 12:50
966K
lemmy-ui-openrc-0.19.12-r0.apk
2025-06-23 06:45
2.1K
lemmy-ui-0.19.12-r0.apk
2025-06-23 06:45
52M
lemmy-openrc-0.19.12-r1.apk
2025-10-14 16:31
1.9K
lemmy-localdb-0.19.12-r1.apk
2025-10-14 16:31
2.4K
lemmy-0.19.12-r1.apk
2025-10-14 16:31
12M
legume-doc-1.4.2-r15.apk
2026-01-17 23:42
12K
legume-1.4.2-r15.apk
2026-01-17 23:42
1.4M
lefthook-doc-2.0.4-r2.apk
2026-01-17 23:42
2.2K
lefthook-2.0.4-r2.apk
2026-01-17 23:42
5.4M
ledmon-doc-1.0.0-r0.apk
2024-10-25 21:09
14K
ledmon-1.0.0-r0.apk
2024-10-25 21:09
81K
ldapdomaindump-pyc-0.10.0-r0.apk
2025-07-30 21:18
30K
ldapdomaindump-0.10.0-r0.apk
2025-07-30 21:18
18K
lcalc-libs-2.1.0-r0.apk
2025-05-03 22:03
217K
lcalc-doc-2.1.0-r0.apk
2025-05-03 22:03
437K
lcalc-dev-2.1.0-r0.apk
2025-05-03 22:03
51K
lcalc-2.1.0-r0.apk
2025-05-03 22:03
167K
lbb-doc-0.10.4-r2.apk
2026-01-17 23:42
20K
lbb-0.10.4-r2.apk
2026-01-17 23:42
3.0M
laze-zsh-completion-0.1.38-r0.apk
2025-07-23 06:04
3.7K
laze-fish-completion-0.1.38-r0.apk
2025-07-23 06:04
3.4K
laze-doc-0.1.38-r0.apk
2025-07-23 06:04
3.6K
laze-bash-completion-0.1.38-r0.apk
2025-07-23 06:04
3.1K
laze-0.1.38-r0.apk
2025-07-23 06:04
1.0M
lavacli-pyc-2.4-r0.apk
2025-06-15 06:00
88K
lavacli-doc-2.4-r0.apk
2025-06-15 06:00
34K
lavacli-2.4-r0.apk
2025-06-15 06:00
49K
kumactl-zsh-completion-2.10.1-r7.apk
2026-01-17 23:42
4.0K
kumactl-fish-completion-2.10.1-r7.apk
2026-01-17 23:42
4.3K
kumactl-bash-completion-2.10.1-r7.apk
2026-01-17 23:42
10K
kumactl-2.10.1-r7.apk
2026-01-17 23:42
24M
kuma-dp-openrc-2.10.1-r7.apk
2026-01-17 23:42
2.1K
kuma-dp-2.10.1-r7.apk
2026-01-17 23:42
22M
kuma-cp-openrc-2.10.1-r7.apk
2026-01-17 23:42
2.2K
kuma-cp-2.10.1-r7.apk
2026-01-17 23:42
27M
kubetail-0.11.0-r0.apk
2026-01-22 02:50
31M
kubesplit-pyc-0.3.3-r1.apk
2024-10-25 21:09
13K
kubesplit-0.3.3-r1.apk
2024-10-25 21:09
13K
kubeseal-doc-0.34.0-r0.apk
2026-01-18 18:59
5.5K
kubeseal-0.34.0-r0.apk
2026-01-18 18:59
11M
kubepug-zsh-completion-1.7.1-r16.apk
2026-01-17 23:42
4.0K
kubepug-fish-completion-1.7.1-r16.apk
2026-01-17 23:42
4.3K
kubepug-bash-completion-1.7.1-r16.apk
2026-01-17 23:42
5.1K
kubepug-1.7.1-r16.apk
2026-01-17 23:42
15M
kubectl-oidc_login-1.34.2-r2.apk
2026-01-17 23:42
5.3M
kubectl-krew-0.4.5-r8.apk
2026-01-17 23:42
4.3M
kubeconform-0.7.0-r4.apk
2026-01-17 23:42
3.5M
kube-no-trouble-0.7.3-r11.apk
2026-01-17 23:42
13M
ktx-libs-4.3.2-r1.apk
2025-06-10 04:49
1.3M
ktx-dev-4.3.2-r1.apk
2025-06-10 04:49
29K
ktx-4.3.2-r1.apk
2025-06-10 04:49
1.2M
kronosnet-doc-1.32-r0.apk
2025-12-27 11:10
108K
kronosnet-dev-1.32-r0.apk
2025-12-27 11:10
2.7M
kronosnet-1.32-r0.apk
2025-12-27 11:10
84K
krita-plugin-gmic-3.2.4.1-r3.apk
2024-10-25 21:09
2.7M
kraftkit-zsh-completion-0.12.3-r2.apk
2026-01-17 23:42
4.0K
kraftkit-fish-completion-0.12.3-r2.apk
2026-01-17 23:42
4.3K
kraftkit-doc-0.12.3-r2.apk
2026-01-17 23:42
73K
kraftkit-bash-completion-0.12.3-r2.apk
2026-01-17 23:42
6.1K
kraftkit-0.12.3-r2.apk
2026-01-17 23:42
19M
kpatch-systemd-0.9.11-r1.apk
2025-11-17 14:13
1.8K
kpatch-doc-0.9.11-r1.apk
2025-11-17 14:13
3.5K
kpatch-0.9.11-r1.apk
2025-11-17 14:13
131K
kotlin-language-server-1.3.13-r0.apk
2025-04-02 19:03
83M
kopia-zsh-completion-0.22.3-r1.apk
2026-01-17 23:42
1.8K
kopia-bash-completion-0.22.3-r1.apk
2026-01-17 23:42
1.8K
kopia-0.22.3-r1.apk
2026-01-17 23:42
15M
kontainer-1.0.1-r0.apk
2025-06-17 13:01
193K
kondo-zsh-completion-0.8-r0.apk
2024-10-25 21:09
2.5K
kondo-fish-completion-0.8-r0.apk
2024-10-25 21:09
2.1K
kondo-bash-completion-0.8-r0.apk
2024-10-25 21:09
2.1K
kondo-0.8-r0.apk
2024-10-25 21:09
652K
kompose-zsh-completion-1.31.2-r16.apk
2026-01-17 23:42
6.8K
kompose-fish-completion-1.31.2-r16.apk
2026-01-17 23:42
4.4K
kompose-bash-completion-1.31.2-r16.apk
2026-01-17 23:42
5.6K
kompose-1.31.2-r16.apk
2026-01-17 23:42
6.7M
komikku-pyc-1.85.0-r0.apk
2025-08-22 10:18
798K
komikku-lang-1.85.0-r0.apk
2025-08-22 10:18
284K
komikku-1.85.0-r0.apk
2025-08-22 10:18
444K
kodi-vfs-sftp-20.2.0-r1.apk
2024-10-25 21:09
55K
kodi-vfs-sacd-20.1.0-r1.apk
2024-10-25 21:09
97K
kodi-vfs-rar-20.1.0-r1.apk
2024-10-25 21:09
409K
kodi-vfs-libarchive-21.0.2-r0.apk
2025-03-02 17:15
112K
kodi-pvr-iptvsimple-21.10.2-r0.apk
2025-03-02 17:15
923K
kodi-pvr-hts-21.2.6-r0.apk
2025-03-02 17:15
299K
kodi-peripheral-joystick-20.1.9-r0.apk
2024-10-25 21:09
217K
kodi-inputstream-rtmp-21.1.2-r0.apk
2025-03-02 17:15
88K
kodi-inputstream-ffmpegdirect-21.3.7-r0.apk
2025-03-02 17:15
349K
kodi-inputstream-adaptive-21.5.9-r0.apk
2025-03-02 17:15
1.0M
kodi-game-libretro-theodore-0.0.1.32-r0.apk
2024-10-25 21:09
16K
kodi-game-libretro-snes9x-1.62.3.45-r0.apk
2024-10-25 21:09
26K
kodi-game-libretro-nestopia-1.52.0.41-r0.apk
2024-10-25 21:09
23K
kodi-game-libretro-mgba-0.11.0.44-r0.apk
2024-10-25 21:09
19K
kodi-game-libretro-mame2003-0.78.0.54-r0.apk
2024-10-25 21:09
1.3M
kodi-game-libretro-mame2000-0.37.0.32-r0.apk
2026-01-09 17:02
324K
kodi-game-libretro-frodo-0.0.1.25-r0.apk
2024-10-25 21:09
160K
kodi-game-libretro-fbneo-1.0.0.71-r0.apk
2024-10-25 21:09
180K
kodi-game-libretro-dosbox-0.74.0.25-r0.apk
2024-10-25 21:09
74K
kodi-game-libretro-desmume-0.0.1.28-r0.apk
2024-10-25 21:09
64K
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk
2024-10-25 21:09
18K
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk
2024-10-25 21:09
16K
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk
2024-10-25 21:09
20K
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk
2024-10-25 21:09
20K
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk
2024-10-25 21:09
64K
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk
2024-10-25 21:09
54K
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk
2024-10-25 21:09
21K
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk
2024-10-25 21:09
17K
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk
2024-10-25 21:09
15K
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk
2024-10-25 21:09
15K
kodi-game-libretro-atari800-3.1.0.28-r0.apk
2024-10-25 21:09
241K
kodi-game-libretro-20.1.0-r0.apk
2024-10-25 21:09
120K
kodi-audioencoder-wav-20.2.0-r1.apk
2024-10-25 21:09
28K
kodi-audioencoder-vorbis-20.2.0-r1.apk
2024-10-25 21:09
36K
kodi-audioencoder-lame-20.3.0-r1.apk
2024-10-25 21:09
93K
kodi-audioencoder-flac-20.2.0-r1.apk
2024-10-25 21:09
44K
kodaskanna-lang-0.2.2-r0.apk
2025-01-26 07:27
23K
kodaskanna-0.2.2-r0.apk
2025-01-26 07:27
53K
ko-zsh-completion-0.17.1-r11.apk
2026-01-17 23:42
4.0K
ko-fish-completion-0.17.1-r11.apk
2026-01-17 23:42
4.3K
ko-bash-completion-0.17.1-r11.apk
2026-01-17 23:42
5.0K
ko-0.17.1-r11.apk
2026-01-17 23:42
9.8M
knxd-dev-0.14.61-r1.apk
2024-12-14 20:38
25K
knxd-0.14.61-r1.apk
2024-12-14 20:38
402K
knative-client-zsh-completion-1.19.6-r2.apk
2026-01-17 23:42
4.0K
knative-client-fish-completion-1.19.6-r2.apk
2026-01-17 23:42
4.3K
knative-client-bash-completion-1.19.6-r2.apk
2026-01-17 23:42
10K
knative-client-1.19.6-r2.apk
2026-01-17 23:42
22M
kmscon-systemd-9.2.1-r0.apk
2026-01-09 17:02
2.7K
kmscon-doc-9.2.1-r0.apk
2026-01-09 17:02
11K
kmscon-9.2.1-r0.apk
2026-01-09 17:02
864K
klong-20221212-r0.apk
2025-06-07 17:16
333K
klevernotes-lang-1.1.0-r0.apk
2024-10-25 21:09
142K
klevernotes-1.1.0-r0.apk
2024-10-25 21:09
2.6M
kjv-0_git20221103-r0.apk
2024-10-25 21:09
1.5M
kismet-nxp-kw41z-0.202509.1-r0.apk
2025-10-09 19:38
46K
kismet-nrf-51822-0.202509.1-r0.apk
2025-10-09 19:38
44K
kismet-logtools-0.202509.1-r0.apk
2025-10-09 19:38
1.1M
kismet-linux-wifi-0.202509.1-r0.apk
2025-10-09 19:38
64K
kismet-linux-bluetooth-0.202509.1-r0.apk
2025-10-09 19:38
46K
kismet-0.202509.1-r0.apk
2025-10-09 19:38
12M
kirc-doc-0.3.3-r0.apk
2025-02-22 17:07
2.8K
kirc-0.3.3-r0.apk
2025-02-22 17:07
15K
kine-doc-0.10.1-r19.apk
2026-01-17 23:42
5.2K
kine-0.10.1-r19.apk
2026-01-17 23:42
7.4M
kimchi-pyc-3.0.0-r8.apk
2025-02-12 18:33
476K
kimchi-lang-3.0.0-r8.apk
2025-02-12 18:33
172K
kimchi-3.0.0-r8.apk
2025-02-12 18:33
529K
kile-lang-3.0_beta4-r0.apk
2025-10-27 15:05
2.7M
kile-doc-3.0_beta4-r0.apk
2025-10-27 15:05
4.6M
kile-3.0_beta4-r0.apk
2025-10-27 15:05
2.2M
kiesel-doc-0_git20260112-r0.apk
2026-01-13 00:10
3.7K
kiesel-0_git20260112-r0.apk
2026-01-13 00:10
5.8M
khronos-lang-4.0.1-r0.apk
2024-10-25 21:09
26K
khronos-4.0.1-r0.apk
2024-10-25 21:09
53K
khinsider-2.0.7-r26.apk
2026-01-17 23:42
3.3M
kfc-0.1.4-r0.apk
2024-10-25 21:09
58K
keystone-python-pyc-0.9.2-r6.apk
2024-10-25 21:09
9.7K
keystone-python-0.9.2-r6.apk
2024-10-25 21:09
1.6M
keystone-dev-0.9.2-r6.apk
2024-10-25 21:09
7.3K
keystone-0.9.2-r6.apk
2024-10-25 21:09
1.4M
keydb-openrc-6.3.4-r0.apk
2024-10-25 21:09
2.6K
keydb-cli-6.3.4-r0.apk
2024-10-25 21:09
369K
keydb-benchmark-6.3.4-r0.apk
2024-10-25 21:09
433K
keydb-6.3.4-r0.apk
2024-10-25 21:09
1.1M
keyboard-backlight-1.4.0_git20220127-r0.apk
2026-01-24 20:30
121K
keybase-client-6.2.8-r16.apk
2026-01-17 23:42
17M
kew-doc-3.7.3-r0.apk
2026-01-08 03:33
3.7K
kew-3.7.3-r0.apk
2026-01-08 03:33
566K
kerberoast-pyc-0.2.0-r2.apk
2025-05-29 14:00
15K
kerberoast-0.2.0-r2.apk
2025-05-29 14:00
9.3K
keepsecret-lang-1.0.0-r0.apk
2025-12-15 17:22
27K
keepsecret-1.0.0-r0.apk
2025-12-15 17:22
132K
kdiskmark-lang-3.2.0-r0.apk
2025-06-30 18:46
32K
kdiskmark-3.2.0-r0.apk
2025-06-30 18:46
168K
kcbench-doc-0.9.14-r0.apk
2026-01-08 03:33
20K
kcbench-0.9.14-r0.apk
2026-01-08 03:33
37K
kbs2-zsh-completion-0.7.3-r0.apk
2025-07-30 09:28
4.0K
kbs2-fish-completion-0.7.3-r0.apk
2025-07-30 09:28
3.4K
kbs2-bash-completion-0.7.3-r0.apk
2025-07-30 09:28
3.2K
kbs2-0.7.3-r0.apk
2025-07-30 09:28
1.1M
katarakt-0.3-r0.apk
2026-01-05 21:15
114K
katana-doc-1.4.0-r0.apk
2026-01-22 02:50
2.3K
katana-1.4.0-r0.apk
2026-01-22 02:50
16M
kapow-0.7.1-r19.apk
2026-01-17 23:42
3.4M
kanidm-zsh-completion-1.8.5-r1.apk
2026-01-11 19:22
37K
kanidm-unixd-clients-1.8.5-r1.apk
2026-01-11 19:22
7.7M
kanidm-server-1.8.5-r1.apk
2026-01-11 19:22
14M
kanidm-openrc-1.8.5-r1.apk
2026-01-11 19:22
2.1K
kanidm-clients-1.8.5-r1.apk
2026-01-11 19:22
3.4M
kanidm-bash-completion-1.8.5-r1.apk
2026-01-11 19:22
27K
kanidm-1.8.5-r1.apk
2026-01-11 19:22
1.3K
kabmat-doc-2.7.0-r0.apk
2024-10-25 21:09
3.5K
kabmat-2.7.0-r0.apk
2024-10-25 21:09
57K
k3sup-zsh-completion-0.13.6-r11.apk
2026-01-17 23:42
4.0K
k3sup-fish-completion-0.13.6-r11.apk
2026-01-17 23:42
4.3K
k3sup-bash-completion-0.13.6-r11.apk
2026-01-17 23:42
5.0K
k3sup-0.13.6-r11.apk
2026-01-17 23:42
2.6M
k2-0_git20250903-r0.apk
2026-01-09 23:13
73K
jwt-cli-6.2.0-r0.apk
2024-12-14 19:18
939K
jupyterlab3-3.6.8-r1.apk
2025-08-10 03:01
14M
junit2html-pyc-31.0.2-r0.apk
2024-10-25 21:09
24K
junit2html-31.0.2-r0.apk
2024-10-25 21:09
17K
jsonnet-language-server-0.16.0-r2.apk
2026-01-17 23:42
4.3M
jsonnet-bundler-0.6.0-r11.apk
2026-01-17 23:42
3.1M
json2tsv-jaq-doc-1.2-r0.apk
2024-10-25 21:09
2.3K
json2tsv-jaq-1.2-r0.apk
2024-10-25 21:09
1.9K
json2tsv-doc-1.2-r0.apk
2024-10-25 21:09
5.2K
json2tsv-1.2-r0.apk
2024-10-25 21:09
6.8K
jsmn-1.1.0-r2.apk
2024-10-25 21:09
4.7K
jrsonnet-cli-0.4.2-r1.apk
2024-10-25 21:09
534K
jotdown-0.7.0-r0.apk
2025-03-11 23:54
209K
jhead-doc-3.08-r0.apk
2024-10-25 21:09
7.9K
jhead-3.08-r0.apk
2024-10-25 21:09
34K
jfrog-cli-2.45.0-r18.apk
2026-01-17 23:42
8.7M
jellyfin-desktop-2.0.0-r0.apk
2026-01-19 03:24
552K
jedi-language-server-pyc-0.45.1-r0.apk
2025-05-03 22:03
50K
jedi-language-server-0.45.1-r0.apk
2025-05-03 22:03
32K
jdupes-doc-1.28.0-r0.apk
2024-10-25 21:09
9.0K
jdupes-1.28.0-r0.apk
2024-10-25 21:09
28K
jdebp-redo-doc-1.4-r1.apk
2024-10-25 21:09
12K
jdebp-redo-1.4-r1.apk
2024-10-25 21:09
92K
jbigkit-doc-2.1-r2.apk
2024-10-25 21:09
7.3K
jbigkit-dev-2.1-r2.apk
2024-10-25 21:09
31K
jbigkit-2.1-r2.apk
2024-10-25 21:09
66K
java-jtreg-7.5_p1-r0.apk
2024-10-27 13:04
4.6M
java-jtharness-examples-6.0_p12-r0.apk
2024-10-25 21:09
219K
java-jtharness-doc-6.0_p12-r0.apk
2024-10-25 21:09
12K
java-jtharness-6.0_p12-r0.apk
2024-10-25 21:09
4.0M
java-gdcm-3.2.2-r3.apk
2025-12-02 06:55
616K
java-asmtools-doc-8.0.09-r0.apk
2024-10-25 21:09
6.9K
java-asmtools-8.0.09-r0.apk
2024-10-25 21:09
574K
jaq-doc-2.3.0-r0.apk
2025-07-23 06:04
2.2K
jaq-2.3.0-r0.apk
2025-07-23 06:04
731K
jalv-gtk-1.6.8-r1.apk
2024-10-25 21:09
34K
jalv-doc-1.6.8-r1.apk
2024-10-25 21:09
3.2K
jalv-1.6.8-r1.apk
2024-10-25 21:09
51K
jadx-doc-1.5.3-r0.apk
2025-09-10 14:55
5.5K
jadx-1.5.3-r0.apk
2025-09-10 14:55
111M
jackdaw-pyc-0.3.1-r2.apk
2025-05-29 14:00
364K
jackdaw-0.3.1-r2.apk
2025-05-29 14:00
2.0M
jackal-openrc-0.64.0-r21.apk
2026-01-17 23:42
1.8K
jackal-0.64.0-r21.apk
2026-01-17 23:42
11M
jack_capture-0.9.73_git20210429-r2.apk
2024-10-25 21:09
34K
it87-src-1_p20240609-r0.apk
2024-10-25 21:09
30K
isomd5sum-doc-1.2.5-r0.apk
2025-07-15 10:02
3.0K
isomd5sum-1.2.5-r0.apk
2025-07-15 10:02
31K
isoinfo-0_git20131217-r1.apk
2024-10-25 21:09
6.8K
ircdog-0.5.4-r11.apk
2026-01-17 23:42
2.3M
irccd-openrc-4.0.3-r0.apk
2024-10-25 21:09
1.8K
irccd-doc-4.0.3-r0.apk
2024-10-25 21:09
80K
irccd-dev-4.0.3-r0.apk
2024-10-25 21:09
9.6K
irccd-4.0.3-r0.apk
2024-10-25 21:09
266K
iprange-doc-1.0.4-r1.apk
2024-10-25 21:09
4.5K
iprange-1.0.4-r1.apk
2024-10-25 21:09
20K
ipp-usb-openrc-0.9.30-r6.apk
2026-01-17 23:42
1.7K
ipp-usb-doc-0.9.30-r6.apk
2026-01-17 23:42
8.8K
ipp-usb-0.9.30-r6.apk
2026-01-17 23:42
2.4M
ip2location-doc-8.6.1-r0.apk
2024-10-25 21:09
2.7K
ip2location-dev-8.6.1-r0.apk
2024-10-25 21:09
13K
ip2location-8.6.1-r0.apk
2024-10-25 21:09
26K
iotas-pyc-0.12.5-r0.apk
2025-11-18 21:54
348K
iotas-lang-0.12.5-r0.apk
2025-11-18 21:54
160K
iotas-0.12.5-r0.apk
2025-11-18 21:54
225K
invidtui-0.4.6-r11.apk
2026-01-17 23:42
3.9M
intiface-central-2.6.7-r3.apk
2025-11-17 00:39
10M
interception-tools-openrc-0.6.8-r3.apk
2025-10-12 16:19
1.7K
interception-tools-0.6.8-r3.apk
2025-10-12 16:19
101K
innernet-zsh-completion-1.6.1-r0.apk
2024-10-25 21:09
5.5K
innernet-openrc-1.6.1-r0.apk
2024-10-25 21:09
2.3K
innernet-fish-completion-1.6.1-r0.apk
2024-10-25 21:09
4.5K
innernet-doc-1.6.1-r0.apk
2024-10-25 21:09
9.0K
innernet-bash-completion-1.6.1-r0.apk
2024-10-25 21:09
3.8K
innernet-1.6.1-r0.apk
2024-10-25 21:09
2.6M
inlyne-zsh-completion-0.5.0-r0.apk
2025-12-22 03:56
2.7K
inlyne-fish-completion-0.5.0-r0.apk
2025-12-22 03:56
2.5K
inlyne-doc-0.5.0-r0.apk
2025-12-22 03:56
2.3K
inlyne-bash-completion-0.5.0-r0.apk
2025-12-22 03:56
2.4K
inlyne-0.5.0-r0.apk
2025-12-22 03:56
6.4M
initify-0_git20171210-r1.apk
2024-10-25 21:09
3.3K
infnoise-openrc-0.3.3-r0.apk
2025-05-26 10:58
1.7K
infnoise-doc-0.3.3-r0.apk
2025-05-26 10:58
4.1K
infnoise-0.3.3-r0.apk
2025-05-26 10:58
14K
incus-ui-canonical-0.18.0-r0.apk
2025-08-22 14:18
4.7M
imrsh-dbg-0_git20210320-r1.apk
2024-10-25 21:09
19K
imrsh-0_git20210320-r1.apk
2024-10-25 21:09
8.8K
imgdiff-doc-1.0.2-r32.apk
2026-01-17 23:42
2.3K
imgdiff-1.0.2-r32.apk
2026-01-17 23:42
1.0M
imediff-pyc-2.6-r1.apk
2024-10-25 21:09
44K
imediff-doc-2.6-r1.apk
2024-10-25 21:09
6.5K
imediff-2.6-r1.apk
2024-10-25 21:09
42K
imapgoose-systemd-0.4.1-r2.apk
2026-01-17 23:42
1.8K
imapgoose-openrc-0.4.1-r2.apk
2026-01-17 23:42
1.7K
imapgoose-doc-0.4.1-r2.apk
2026-01-17 23:42
5.7K
imapgoose-0.4.1-r2.apk
2026-01-17 23:42
2.3M
imapfilter-doc-2.8.2-r0.apk
2024-10-25 21:09
13K
imapfilter-2.8.2-r0.apk
2024-10-25 21:09
41K
ijq-doc-1.2.0-r3.apk
2026-01-17 23:42
3.6K
ijq-1.2.0-r3.apk
2026-01-17 23:42
1.4M
igrep-doc-1.2.0-r0.apk
2024-10-25 21:09
4.2K
igrep-1.2.0-r0.apk
2024-10-25 21:09
1.6M
ifuse-doc-1.1.4-r5.apk
2024-10-30 23:44
2.3K
ifuse-1.1.4-r5.apk
2024-10-30 23:44
10K
idevicerestore-doc-1.0.0_git20250914-r0.apk
2025-10-09 19:38
3.4K
idevicerestore-1.0.0_git20250914-r0.apk
2025-10-09 19:38
99K
ideviceinstaller-doc-1.1.1-r4.apk
2024-10-30 23:44
2.5K
ideviceinstaller-1.1.1-r4.apk
2024-10-30 23:44
14K
idesk-1-r1.apk
2024-10-25 21:09
68K
identme-0.6.0-r0.apk
2025-04-03 14:33
47K
identities-0.2.3-r0.apk
2026-01-03 17:39
19K
icingaweb2-module-pnp-doc-1.1.0-r1.apk
2024-10-25 21:09
1.6K
icingaweb2-module-pnp-1.1.0-r1.apk
2024-10-25 21:09
9.0K
icingaweb2-module-generictts-doc-2.1.0-r0.apk
2024-10-25 21:09
1.8K
icingaweb2-module-generictts-2.1.0-r0.apk
2024-10-25 21:09
6.6K
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk
2024-10-25 21:09
231K
icingaweb2-module-fileshipper-1.2.0-r3.apk
2024-10-25 21:09
11K
icingaweb2-module-businessprocess-doc-2.5.2-r0.apk
2025-08-13 17:54
2.0M
icingaweb2-module-businessprocess-2.5.2-r0.apk
2025-08-13 17:54
110K
icestorm-0_git20240517-r0.apk
2024-10-25 21:09
16M
icesprog-udev-0_git20240108-r1.apk
2024-10-25 21:09
1.9K
icesprog-0_git20240108-r1.apk
2024-10-25 21:09
9.4K
ibus-typing-booster-pyc-2.29.0-r0.apk
2025-12-16 16:13
1.5M
ibus-typing-booster-lang-2.29.0-r0.apk
2025-12-16 16:13
317K
ibus-typing-booster-2.29.0-r0.apk
2025-12-16 16:13
13M
i3status-rust-doc-0.34.0-r0.apk
2025-07-23 06:04
33K
i3status-rust-0.34.0-r0.apk
2025-07-23 06:04
4.2M
i3bar-river-systemd-1.1.0-r1.apk
2025-10-29 23:51
1.9K
i3bar-river-openrc-1.1.0-r1.apk
2025-10-29 23:51
1.7K
i3bar-river-1.1.0-r1.apk
2025-10-29 23:51
482K
i2util-doc-4.2.1-r1.apk
2024-10-25 21:09
4.7K
i2util-dev-4.2.1-r1.apk
2024-10-25 21:09
48K
i2util-4.2.1-r1.apk
2024-10-25 21:09
23K
hyx-doc-2024.02.29-r0.apk
2024-10-25 21:09
2.2K
hyx-2024.02.29-r0.apk
2024-10-25 21:09
17K
hyprsunset-openrc-0.3.3-r1.apk
2025-12-14 11:58
1.8K
hyprsunset-doc-0.3.3-r1.apk
2025-12-14 11:58
2.4K
hyprsunset-0.3.3-r1.apk
2025-12-14 11:58
132K
hyprpicker-doc-0.4.5-r1.apk
2025-12-14 11:58
3.8K
hyprpicker-0.4.5-r1.apk
2025-12-14 11:58
110K
hyprlock-0.9.2-r0.apk
2025-12-14 11:58
404K
hypridle-openrc-0.1.7-r1.apk
2025-12-14 11:58
1.7K
hypridle-doc-0.1.7-r1.apk
2025-12-14 11:58
2.4K
hypridle-0.1.7-r1.apk
2025-12-14 11:58
129K
hypnotix-lang-3.5-r0.apk
2024-10-25 21:09
72K
hypnotix-3.5-r0.apk
2024-10-25 21:09
110K
hyperrogue-doc-13.1i-r0.apk
2025-12-19 04:52
8.0K
hyperrogue-13.1i-r0.apk
2025-12-19 04:52
83M
hyfetch-zsh-completion-2.0.5-r0.apk
2025-11-21 23:33
2.6K
hyfetch-doc-2.0.5-r0.apk
2025-11-21 23:33
20K
hyfetch-bash-completion-2.0.5-r0.apk
2025-11-21 23:33
3.3K
hyfetch-2.0.5-r0.apk
2025-11-21 23:33
829K
hx-doc-1.0.15-r0.apk
2024-10-25 21:09
4.8K
hx-1.0.15-r0.apk
2024-10-25 21:09
15K
hwatch-zsh-completion-0.3.11-r0.apk
2024-10-25 21:09
1.9K
hwatch-fish-completion-0.3.11-r0.apk
2024-10-25 21:09
1.8K
hwatch-doc-0.3.11-r0.apk
2024-10-25 21:09
3.0K
hwatch-0.3.11-r0.apk
2024-10-25 21:09
971K
hw-probe-1.6.6-r2.apk
2025-06-30 09:25
124K
hurl-zsh-completion-7.1.0-r0.apk
2025-12-05 19:41
4.1K
hurl-fish-completion-7.1.0-r0.apk
2025-12-05 19:41
3.6K
hurl-doc-7.1.0-r0.apk
2025-12-05 19:41
9.2K
hurl-bash-completion-7.1.0-r0.apk
2025-12-05 19:41
2.3K
hurl-7.1.0-r0.apk
2025-12-05 19:41
2.3M
hunspell-ca-es-3.0.7-r0.apk
2024-10-25 21:09
731K
hubble-cli-zsh-completion-0.13.6-r11.apk
2026-01-17 23:42
4.1K
hubble-cli-fish-completion-0.13.6-r11.apk
2026-01-17 23:42
4.3K
hubble-cli-bash-completion-0.13.6-r11.apk
2026-01-17 23:42
5.1K
hubble-cli-0.13.6-r11.apk
2026-01-17 23:42
17M
hub-zsh-completion-2.14.2-r37.apk
2026-01-17 23:42
3.7K
hub-fish-completion-2.14.2-r37.apk
2026-01-17 23:42
3.3K
hub-doc-2.14.2-r37.apk
2026-01-17 23:42
42K
hub-bash-completion-2.14.2-r37.apk
2026-01-17 23:42
4.6K
hub-2.14.2-r37.apk
2026-01-17 23:42
2.7M
httrack-doc-3.49.2-r5.apk
2024-10-25 21:09
528K
httrack-3.49.2-r5.apk
2024-10-25 21:09
765K
httpx-doc-1.8.1-r0.apk
2026-01-22 19:40
2.3K
httpx-1.8.1-r0.apk
2026-01-22 19:40
18M
httplz-doc-2.2.0-r0.apk
2025-05-18 17:38
2.3K
httplz-2.2.0-r0.apk
2025-05-18 17:38
1.2M
httpie-oauth-pyc-1.0.2-r9.apk
2024-10-25 21:09
2.3K
httpie-oauth-1.0.2-r9.apk
2024-10-25 21:09
3.4K
htmlcxx-dev-0.87-r1.apk
2024-10-25 21:09
21K
htmlcxx-0.87-r1.apk
2024-10-25 21:09
63K
hstdb-2.1.0-r2.apk
2024-10-25 21:09
852K
hsetroot-1.0.5-r1.apk
2024-10-25 21:09
11K
hpnssh-doc-18.8.0-r0.apk
2025-11-28 20:38
101K
hpnssh-18.8.0-r0.apk
2025-11-28 20:38
2.8M
hping3-doc-20051105-r4.apk
2024-10-25 21:09
17K
hping3-20051105-r4.apk
2024-10-25 21:09
68K
horizon-tools-0.9.6-r9.apk
2024-10-25 21:09
82K
horizon-image-0.9.6-r9.apk
2024-10-25 21:09
67K
horizon-doc-0.9.6-r9.apk
2024-10-25 21:09
21K
horizon-dev-0.9.6-r9.apk
2024-10-25 21:09
4.9K
horizon-dbg-0.9.6-r9.apk
2024-10-25 21:09
4.0M
horizon-0.9.6-r9.apk
2024-10-25 21:09
204K
honeybee-doc-0.2.0-r1.apk
2025-07-09 01:15
3.4K
honeybee-0.2.0-r1.apk
2025-07-09 01:15
1.5M
homebank-lang-5.9.5-r0.apk
2025-10-14 16:54
942K
homebank-5.9.5-r0.apk
2025-10-14 16:54
2.0M
hiprompt-gtk-py-0.8.0-r1.apk
2025-09-17 12:03
8.0K
himitsu-totp-doc-0.9-r0.apk
2025-09-06 18:20
2.4K
himitsu-totp-0.9-r0.apk
2025-09-06 18:20
160K
himitsu-secret-service-pyc-0.1_git20250705-r1.apk
2025-10-20 16:00
29K
himitsu-secret-service-doc-0.1_git20250705-r1.apk
2025-10-20 16:00
4.0K
himitsu-secret-service-0.1_git20250705-r1.apk
2025-10-20 16:00
16K
himitsu-keyring-0.2.0-r0.apk
2024-10-25 21:09
13K
himitsu-git-0.9.0-r0.apk
2025-08-20 18:37
124K
himitsu-firefox-0.6-r1.apk
2024-11-28 17:16
206K
hilbish-doc-2.3.4-r11.apk
2026-01-17 23:42
25K
hilbish-2.3.4-r11.apk
2026-01-17 23:42
3.4M
highfive-2.10.1-r0.apk
2025-01-15 03:50
75K
highctidh-dev-1.0.2024092800-r0.apk
2024-11-25 21:23
403K
highctidh-1.0.2024092800-r0.apk
2024-11-25 21:23
364K
hidrd-dev-0.2.0_git20190603-r1.apk
2024-10-25 21:09
130K
hidrd-0.2.0_git20190603-r1.apk
2024-10-25 21:09
77K
hiawatha-openrc-11.6-r1.apk
2025-05-27 13:07
1.7K
hiawatha-letsencrypt-11.6-r1.apk
2025-05-27 13:07
17K
hiawatha-doc-11.6-r1.apk
2025-05-27 13:07
21K
hiawatha-11.6-r1.apk
2025-05-27 13:07
183K
hfst-libs-3.16.2-r1.apk
2026-01-14 05:08
1.8M
hfst-doc-3.16.2-r1.apk
2026-01-14 05:08
70K
hfst-dev-3.16.2-r1.apk
2026-01-14 05:08
209K
hfst-3.16.2-r1.apk
2026-01-14 05:08
1.4M
hexedit-doc-1.6_git20230905-r0.apk
2024-10-25 21:09
5.6K
hexedit-1.6_git20230905-r0.apk
2024-10-25 21:09
17K
hex-0.6.0-r0.apk
2024-10-25 21:09
285K
herbe-1.0.0-r0.apk
2024-10-25 21:09
5.5K
helvum-0.5.1-r0.apk
2024-10-25 21:09
307K
helmfile-zsh-completion-1.1.8-r2.apk
2026-01-17 23:42
4.0K
helmfile-fish-completion-1.1.8-r2.apk
2026-01-17 23:42
4.3K
helmfile-doc-1.1.8-r2.apk
2026-01-17 23:42
2.3K
helmfile-bash-completion-1.1.8-r2.apk
2026-01-17 23:42
6.1K
helmfile-1.1.8-r2.apk
2026-01-17 23:42
57M
helm-unittest-1.0.3-r2.apk
2026-01-17 23:42
11M
helm-mapkubeapis-0.6.1-r2.apk
2026-01-17 23:42
20M
helm-ls-doc-0.5.4-r2.apk
2026-01-17 23:42
2.3K
helm-ls-0.5.4-r2.apk
2026-01-17 23:42
17M
helm-diff-3.13.1-r2.apk
2026-01-17 23:42
20M
heisenbridge-pyc-1.15.4-r0.apk
2025-10-09 19:38
155K
heisenbridge-1.15.4-r0.apk
2025-10-09 19:38
67K
heh-doc-0.6.3-r0.apk
2026-01-21 18:22
4.1K
heh-0.6.3-r0.apk
2026-01-21 18:22
465K
hdf4-tools-4.2.15-r2.apk
2024-10-25 21:09
211K
hdf4-doc-4.2.15-r2.apk
2024-10-25 21:09
6.0K
hdf4-dev-4.2.15-r2.apk
2024-10-25 21:09
101K
hdf4-4.2.15-r2.apk
2024-10-25 21:09
246K
hddfancontrol-pyc-1.6.2-r0.apk
2024-10-25 21:09
34K
hddfancontrol-openrc-1.6.2-r0.apk
2024-10-25 21:09
2.2K
hddfancontrol-1.6.2-r0.apk
2024-10-25 21:09
33K
hctl-0.2.7-r0.apk
2025-05-14 02:04
1.2M
hatop-doc-0.8.2-r0.apk
2024-10-25 21:09
3.0K
hatop-0.8.2-r0.apk
2024-10-25 21:09
18K
hatch-pyc-1.16.1-r0.apk
2025-11-30 21:01
246K
hatch-1.16.1-r0.apk
2025-11-30 21:01
115K
haskell-language-server-2.9.0.0-r0.apk
2024-10-25 21:09
76M
hashcat-doc-6.2.6-r0.apk
2024-10-25 21:09
2.1M
hashcat-6.2.6-r0.apk
2024-10-25 21:09
59M
harminv-libs-1.4.2-r1.apk
2024-10-25 21:09
23K
harminv-doc-1.4.2-r1.apk
2024-10-25 21:09
5.7K
harminv-dev-1.4.2-r1.apk
2024-10-25 21:09
3.1K
harminv-1.4.2-r1.apk
2024-10-25 21:09
7.7K
haredo-doc-1.0.5-r1.apk
2024-11-28 17:16
4.7K
haredo-1.0.5-r1.apk
2024-11-28 17:16
160K
hare-scfg-0.25.2-r0.apk
2025-09-22 17:28
4.7K
hare-madeline-doc-0.1_git20240505-r1.apk
2024-11-28 17:16
2.2K
hare-madeline-0.1_git20240505-r1.apk
2024-11-28 17:16
25K
hare-lsp-0.1.0-r0.apk
2025-12-27 18:59
689K
hare-irc-0.25.2.0-r0.apk
2025-06-25 09:24
11K
hare-http-0.25.2.0-r1.apk
2025-08-10 03:01
21K
hare-gtk4-layer-shell-0.1.0-r0.apk
2025-08-25 19:30
3.8K
hare-gi-0.1.0-r0.apk
2025-08-25 19:30
1.6M
hare-adwaita-0.1.0-r0.apk
2025-08-25 19:30
90K
hardinfo2-openrc-2.2.13-r0.apk
2025-09-28 13:21
2.0K
hardinfo2-lang-2.2.13-r0.apk
2025-09-28 13:21
292K
hardinfo2-doc-2.2.13-r0.apk
2025-09-28 13:21
3.0K
hardinfo2-2.2.13-r0.apk
2025-09-28 13:21
3.3M
hardened-malloc-13-r0.apk
2024-10-25 21:09
36K
haproxy-dataplaneapi2-openrc-2.9.18-r3.apk
2026-01-17 23:42
2.1K
haproxy-dataplaneapi2-2.9.18-r3.apk
2026-01-17 23:42
9.7M
handlebars-utils-1.0.0-r1.apk
2024-10-25 21:09
9.9K
handlebars-dev-1.0.0-r1.apk
2024-10-25 21:09
32K
handlebars-1.0.0-r1.apk
2024-10-25 21:09
105K
hamster-time-tracker-pyc-3.0.3-r2.apk
2024-10-25 21:09
358K
hamster-time-tracker-lang-3.0.3-r2.apk
2024-10-25 21:09
206K
hamster-time-tracker-doc-3.0.3-r2.apk
2024-10-25 21:09
116K
hamster-time-tracker-bash-completion-3.0.3-r2.apk
2024-10-25 21:09
2.0K
hamster-time-tracker-3.0.3-r2.apk
2024-10-25 21:09
156K
halp-zsh-completion-0.2.0-r0.apk
2024-10-25 21:09
2.4K
halp-fish-completion-0.2.0-r0.apk
2024-10-25 21:09
2.0K
halp-doc-0.2.0-r0.apk
2024-10-25 21:09
6.9K
halp-bash-completion-0.2.0-r0.apk
2024-10-25 21:09
2.2K
halp-0.2.0-r0.apk
2024-10-25 21:09
1.0M
habitctl-0.1.0-r2.apk
2024-10-25 21:09
307K
h4h5tools-static-2.2.5-r4.apk
2024-10-25 21:09
113K
h4h5tools-doc-2.2.5-r4.apk
2024-10-25 21:09
2.7K
h4h5tools-dev-2.2.5-r4.apk
2024-10-25 21:09
8.8K
h4h5tools-2.2.5-r4.apk
2024-10-25 21:09
102K
gyosu-0.2.0-r4.apk
2026-01-17 23:42
1.8M
gx-go-doc-1.9.0-r38.apk
2026-01-17 23:42
2.3K
gx-go-1.9.0-r38.apk
2026-01-17 23:42
4.7M
gx-doc-0.14.3-r36.apk
2026-01-17 23:42
2.3K
gx-0.14.3-r36.apk
2026-01-17 23:42
4.5M
gupnp-doc-1.6.9-r1.apk
2025-08-10 03:01
3.8K
gupnp-dlna-dev-0.12.0-r1.apk
2025-08-10 03:01
24K
gupnp-dlna-0.12.0-r1.apk
2025-08-10 03:01
69K
gupnp-dev-1.6.9-r1.apk
2025-08-10 03:01
50K
gupnp-av-dev-0.14.4-r1.apk
2025-08-10 03:01
42K
gupnp-av-0.14.4-r1.apk
2025-08-10 03:01
80K
gupnp-1.6.9-r1.apk
2025-08-10 03:01
90K
gummiboot-efistub-48.1-r11.apk
2025-07-23 06:04
18K
gummiboot-doc-48.1-r11.apk
2025-07-23 06:04
2.9K
gummiboot-48.1-r11.apk
2025-07-23 06:04
41K
guish-doc-2.6.11-r0.apk
2024-12-24 11:42
61K
guish-2.6.11-r0.apk
2024-12-24 11:42
95K
gufw-pyc-24.04-r3.apk
2024-11-20 01:45
65K
gufw-lang-24.04-r3.apk
2024-11-20 01:45
855K
gufw-doc-24.04-r3.apk
2024-11-20 01:45
4.5K
gufw-24.04-r3.apk
2024-11-20 01:45
596K
guestfs-tools-1.56.1-r0.apk
2025-07-23 06:04
281K
guake-pyc-3.10.1-r0.apk
2025-11-24 19:07
186K
guake-lang-3.10.1-r0.apk
2025-11-24 19:07
194K
guake-3.10.1-r0.apk
2025-11-24 19:07
304K
gtypist-lang-2.10.1-r0.apk
2025-10-12 22:59
26K
gtypist-doc-2.10.1-r0.apk
2025-10-12 22:59
104K
gtypist-2.10.1-r0.apk
2025-10-12 22:59
635K
gtranslator-lang-49.0-r0.apk
2025-09-15 09:46
593K
gtranslator-doc-49.0-r0.apk
2025-09-15 09:46
638K
gtranslator-49.0-r0.apk
2025-09-15 09:46
141K
gtkwave-doc-3.3.120-r0.apk
2024-10-25 21:09
27K
gtkwave-3.3.120-r0.apk
2024-10-25 21:09
2.6M
gtklock-doc-4.0.0-r0.apk
2025-02-01 17:09
3.0K
gtklock-4.0.0-r0.apk
2025-02-01 17:09
19K
gtkhash-lang-1.5-r0.apk
2024-10-25 21:09
47K
gtkhash-1.5-r0.apk
2024-10-25 21:09
87K
gtk-session-lock-dev-0.2.0-r0.apk
2025-02-01 17:09
5.3K
gtk-session-lock-0.2.0-r0.apk
2025-02-01 17:09
30K
gtimelog-pyc-0.12_git20251114-r0.apk
2025-11-18 21:54
102K
gtimelog-0.12_git20251114-r0.apk
2025-11-18 21:54
219K
gstreamermm-dev-1.10.0-r6.apk
2025-02-17 16:07
310K
gstreamermm-1.10.0-r6.apk
2025-02-17 16:07
463K
gst-video-thumbnailer-1.0_alpha3-r0.apk
2026-01-15 12:33
266K
gst-thumbnailers-1.0_alpha3-r0.apk
2026-01-15 12:33
1.3K
gst-audio-thumbnailer-1.0_alpha3-r0.apk
2026-01-15 12:33
252K
gssdp-dev-1.6.4-r1.apk
2025-08-10 03:01
16K
gssdp-1.6.4-r1.apk
2025-08-10 03:01
47K
gsimplecal-doc-2.5.2-r0.apk
2025-10-16 20:48
5.8K
gsimplecal-2.5.2-r0.apk
2025-10-16 20:48
16K
gsettings-qt-dev-1.1.0-r0.apk
2025-12-09 12:10
3.6K
gsettings-qt-1.1.0-r0.apk
2025-12-09 12:10
30K
grpcurl-1.9.3-r9.apk
2026-01-17 23:42
7.7M
grpcui-1.5.1-r5.apk
2026-01-17 23:42
8.1M
grpc-java-1.78.0-r0.apk
2026-01-03 12:24
78K
grpc-health-check-0.1.1-r3.apk
2024-10-25 21:09
965K
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk
2024-10-25 21:09
3.3K
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk
2024-10-25 21:09
2.2K
greetd-mini-wl-greeter-0_git20230821-r0.apk
2024-10-25 21:09
20K
grcov-0.8.20-r0.apk
2024-11-11 11:02
1.7M
gradia-lang-1.11.1-r0.apk
2026-01-08 03:33
64K
gradia-dev-1.11.1-r0.apk
2026-01-08 03:33
2.5K
gradia-1.11.1-r0.apk
2026-01-08 03:33
787K
gr-satellites-doc-5.5.0-r6.apk
2025-10-12 14:09
4.5K
gr-satellites-dev-5.5.0-r6.apk
2025-10-12 14:09
13K
gr-satellites-5.5.0-r6.apk
2025-10-12 14:09
491K
gpscorrelate-lang-2.3-r0.apk
2025-03-27 07:36
17K
gpscorrelate-doc-2.3-r0.apk
2025-03-27 07:36
285K
gpscorrelate-cli-2.3-r0.apk
2025-03-27 07:36
24K
gpscorrelate-2.3-r0.apk
2025-03-27 07:36
48K
gpsbabel-lang-1.10.0-r0.apk
2025-11-06 14:14
88K
gpsbabel-1.10.0-r0.apk
2025-11-06 14:14
1.4M
gprbuild-25.0.0-r0.apk
2025-10-29 03:54
13M
gperftools-doc-2.17-r0.apk
2025-08-05 15:00
247K
gperftools-dev-2.17-r0.apk
2025-08-05 15:00
730K
gperftools-2.17-r0.apk
2025-08-05 15:00
25K
goxel-0.15.1-r0.apk
2024-10-25 21:09
1.7M
gotify-openrc-2.7.2-r3.apk
2026-01-17 23:42
2.0K
gotify-cli-2.3.2-r11.apk
2026-01-17 23:42
4.0M
gotify-2.7.2-r3.apk
2026-01-17 23:42
10M
goshs-doc-1.1.3-r1.apk
2026-01-17 23:42
2.3K
goshs-1.1.3-r1.apk
2026-01-17 23:42
6.0M
goreman-0.3.15-r19.apk
2026-01-17 23:42
2.3M
goomwwm-1.0.0-r5.apk
2024-10-25 21:09
46K
gomp-1.0.0-r18.apk
2026-01-17 23:42
3.4M
goguma-0.8.0-r4.apk
2025-11-17 00:39
4.4M
godot-templates-4.5.1-r1.apk
2026-01-14 05:08
42M
godot-doc-4.5.1-r1.apk
2026-01-14 05:08
4.6K
godot-4.5.1-r1.apk
2026-01-14 05:08
60M
godini-doc-1.0.0-r6.apk
2026-01-17 23:42
15K
godini-1.0.0-r6.apk
2026-01-17 23:42
1.4M
gobuster-3.8.0-r4.apk
2026-01-17 23:42
3.5M
gobang-0.1.0_alpha5-r1.apk
2024-10-25 21:09
1.9M
go-tools-0.41.0-r1.apk
2026-01-17 23:42
43M
go-passbolt-cli-0.3.2-r9.apk
2026-01-17 23:42
5.8M
go-mtpfs-1.0.0-r34.apk
2026-01-17 23:42
1.1M
go-jsonnet-0.21.0-r6.apk
2026-01-17 23:42
6.3M
go-away-openrc-0.7.0-r1.apk
2025-09-06 18:20
2.3K
go-away-0.7.0-r1.apk
2025-09-06 18:20
7.9M
gnucobol-lang-3.2-r0.apk
2025-07-28 16:02
316K
gnucobol-doc-3.2-r0.apk
2025-07-28 16:02
71K
gnucobol-3.2-r0.apk
2025-07-28 16:02
793K
gnu-apl-doc-1.9-r0.apk
2024-10-25 21:09
1.6M
gnu-apl-dev-1.9-r0.apk
2024-10-25 21:09
599K
gnu-apl-1.9-r0.apk
2024-10-25 21:09
1.3M
gnome-mimeapps-0.1-r1.apk
2025-08-10 03:01
3.7K
gnome-metronome-lang-1.3.0-r0.apk
2024-10-25 21:09
25K
gnome-metronome-1.3.0-r0.apk
2024-10-25 21:09
455K
gnome-mahjongg-lang-49.0.1-r0.apk
2025-10-18 23:03
170K
gnome-mahjongg-doc-49.0.1-r0.apk
2025-10-18 23:03
2.2K
gnome-mahjongg-49.0.1-r0.apk
2025-10-18 23:03
2.4M
gnome-latex-lang-3.49.0-r0.apk
2026-01-08 03:33
530K
gnome-latex-doc-3.49.0-r0.apk
2026-01-08 03:33
110K
gnome-latex-3.49.0-r0.apk
2026-01-08 03:33
362K
gnome-common-3.18.0-r3.apk
2024-10-25 21:09
12K
gmsh-py-4.15.0-r0.apk
2025-10-31 22:52
6.7K
gmsh-doc-4.15.0-r0.apk
2025-10-31 22:52
1.9M
gmsh-dbg-4.15.0-r0.apk
2025-10-31 22:52
147M
gmsh-4.15.0-r0.apk
2025-10-31 22:52
8.1M
gmid-openrc-2.1.1-r1.apk
2026-01-12 18:29
2.3K
gmid-doc-2.1.1-r1.apk
2026-01-12 18:29
15K
gmid-2.1.1-r1.apk
2026-01-12 18:29
226K
gmic-qt-3.6.0-r2.apk
2026-01-03 17:39
1.7M
gmic-libs-3.6.0-r2.apk
2026-01-03 17:39
3.1M
gmic-doc-3.6.0-r2.apk
2026-01-03 17:39
223K
gmic-dev-3.6.0-r2.apk
2026-01-03 17:39
7.6K
gmic-bash-completion-3.6.0-r2.apk
2026-01-03 17:39
29K
gmic-3.6.0-r2.apk
2026-01-03 17:39
12M
gmenuharness-dev-0.1.4-r2.apk
2025-02-17 16:07
4.1K
gmenuharness-0.1.4-r2.apk
2025-02-17 16:07
37K
gmcapsule-pyc-0.9.8-r0.apk
2025-10-09 19:38
61K
gmcapsule-openrc-0.9.8-r0.apk
2025-10-09 19:38
2.0K
gmcapsule-0.9.8-r0.apk
2025-10-09 19:38
36K
glslviewer-3.2.4-r2.apk
2025-08-28 19:44
1.7M
glow-zsh-completion-2.1.1-r6.apk
2026-01-17 23:42
4.0K
glow-fish-completion-2.1.1-r6.apk
2026-01-17 23:42
4.3K
glow-doc-2.1.1-r6.apk
2026-01-17 23:42
3.2K
glow-bash-completion-2.1.1-r6.apk
2026-01-17 23:42
6.1K
glow-2.1.1-r6.apk
2026-01-17 23:42
5.6M
gloox-dev-1.0.28-r0.apk
2024-10-25 21:09
936K
gloox-1.0.28-r0.apk
2024-10-25 21:09
365K
glmark2-doc-2023.01-r1.apk
2024-10-25 21:09
13K
glmark2-2023.01-r1.apk
2024-10-25 21:09
7.9M
gliderlabs-sigil-doc-0.11.0-r11.apk
2026-01-17 23:42
2.4K
gliderlabs-sigil-0.11.0-r11.apk
2026-01-17 23:42
3.1M
glfw-wayland-dev-3.3.8-r3.apk
2024-10-25 21:09
46K
glfw-wayland-dbg-3.3.8-r3.apk
2024-10-25 21:09
195K
glfw-wayland-3.3.8-r3.apk
2024-10-25 21:09
66K
gl2ps-static-1.4.2-r0.apk
2025-10-12 23:32
43K
gl2ps-doc-1.4.2-r0.apk
2025-10-12 23:32
230K
gl2ps-dev-1.4.2-r0.apk
2025-10-12 23:32
4.3K
gl2ps-1.4.2-r0.apk
2025-10-12 23:32
37K
gkrellm-server-2.3.11-r0.apk
2025-01-08 23:36
51K
gkrellm-lang-2.3.11-r0.apk
2025-01-08 23:36
379K
gkrellm-doc-2.3.11-r0.apk
2025-01-08 23:36
19K
gkrellm-dev-2.3.11-r0.apk
2025-01-08 23:36
17K
gkrellm-2.3.11-r0.apk
2025-01-08 23:36
350K
gitoxide-0.14.0-r1.apk
2024-10-25 21:09
2.6M
git-secret-doc-0.5.0-r0.apk
2024-10-25 21:09
17K
git-secret-0.5.0-r0.apk
2024-10-25 21:09
15K
git-revise-pyc-0.7.0-r5.apk
2024-10-25 21:09
42K
git-revise-doc-0.7.0-r5.apk
2024-10-25 21:09
5.0K
git-revise-0.7.0-r5.apk
2024-10-25 21:09
24K
git-quick-stats-doc-2.8.0-r0.apk
2025-09-11 10:18
3.5K
git-quick-stats-2.8.0-r0.apk
2025-09-11 10:18
15K
git-graph-doc-0.6.0-r0.apk
2024-11-26 00:38
6.2K
git-graph-0.6.0-r0.apk
2024-11-26 00:38
821K
git-extras-doc-7.4.0-r0.apk
2025-07-23 06:04
65K
git-extras-bash-completion-7.4.0-r0.apk
2025-07-23 06:04
2.9K
git-extras-7.4.0-r0.apk
2025-07-23 06:04
57K
git-bug-zsh-completion-0.8.1-r7.apk
2026-01-17 23:42
4.1K
git-bug-fish-completion-0.8.1-r7.apk
2026-01-17 23:42
4.3K
git-bug-doc-0.8.1-r7.apk
2026-01-17 23:42
17K
git-bug-bash-completion-0.8.1-r7.apk
2026-01-17 23:42
5.3K
git-bug-0.8.1-r7.apk
2026-01-17 23:42
9.5M
gingerbase-pyc-2.3.0-r7.apk
2024-10-25 21:09
61K
gingerbase-lang-2.3.0-r7.apk
2024-10-25 21:09
53K
gingerbase-2.3.0-r7.apk
2024-10-25 21:09
195K
ginger-pyc-2.4.0-r7.apk
2024-10-25 21:09
207K
ginger-lang-2.4.0-r7.apk
2024-10-25 21:09
125K
ginger-2.4.0-r7.apk
2024-10-25 21:09
257K
gimp-plugin-gmic-3.6.0-r2.apk
2026-01-03 17:39
1.3M
ghq-zsh-completion-1.8.0-r7.apk
2026-01-17 23:42
2.5K
ghq-fish-completion-1.8.0-r7.apk
2026-01-17 23:42
2.5K
ghq-doc-1.8.0-r7.apk
2026-01-17 23:42
5.5K
ghq-bash-completion-1.8.0-r7.apk
2026-01-17 23:42
1.8K
ghq-1.8.0-r7.apk
2026-01-17 23:42
3.5M
ghostty-zsh-completion-1.2.3_git20260112-r1.apk
2026-01-21 11:45
4.6K
ghostty-fish-completion-1.2.3_git20260112-r1.apk
2026-01-21 11:45
8.3K
ghostty-doc-1.2.3_git20260112-r1.apk
2026-01-21 11:45
2.3K
ghostty-bash-completion-1.2.3_git20260112-r1.apk
2026-01-21 11:45
6.5K
ghostty-1.2.3_git20260112-r1.apk
2026-01-21 11:45
16M
ghc-filesystem-1.5.14-r0.apk
2024-10-25 21:09
39K
gfan-0.6.2-r1.apk
2024-10-25 21:09
1.5M
getting-things-gnome-lang-0.6-r4.apk
2024-12-08 22:43
229K
getting-things-gnome-doc-0.6-r4.apk
2024-12-08 22:43
497K
getting-things-gnome-0.6-r4.apk
2024-12-08 22:43
715K
getssl-2.48-r0.apk
2024-10-25 21:09
82K
getmail6-pyc-6.19.10-r0.apk
2025-08-19 13:29
103K
getmail6-doc-6.19.10-r0.apk
2025-08-19 13:29
139K
getmail6-6.19.10-r0.apk
2025-08-19 13:29
70K
gesture-openrc-0.8-r0.apk
2026-01-19 15:19
1.7K
gesture-0.8-r0.apk
2026-01-19 15:19
14K
geotagging-0.7.4-r0.apk
2024-11-12 12:04
430K
geonames-lang-0.3.1-r2.apk
2024-10-25 21:09
4.6M
geonames-doc-0.3.1-r2.apk
2024-10-25 21:09
13K
geonames-dev-0.3.1-r2.apk
2024-10-25 21:09
3.0K
geonames-0.3.1-r2.apk
2024-10-25 21:09
827K
geomyidae-openrc-0.34-r2.apk
2024-10-25 21:09
2.0K
geomyidae-doc-0.34-r2.apk
2024-10-25 21:09
7.6K
geomyidae-0.34-r2.apk
2024-10-25 21:09
15K
geodns-openrc-3.3.0-r19.apk
2026-01-17 23:42
1.8K
geodns-logs-3.3.0-r19.apk
2026-01-17 23:42
4.2M
geodns-3.3.0-r19.apk
2026-01-17 23:42
4.6M
geoclue-stumbler-1.1-r0.apk
2025-10-09 19:38
43K
generate-kernel-cmdline-doc-1.0-r0.apk
2026-01-23 00:37
2.9K
generate-kernel-cmdline-1.0-r0.apk
2026-01-23 00:37
143K
genact-1.5.1-r0.apk
2026-01-26 16:25
1.6M
gede-2.22.1-r0.apk
2025-11-02 21:48
386K
gearmand-openrc-1.1.22-r0.apk
2025-09-06 18:20
1.8K
gearmand-doc-1.1.22-r0.apk
2025-09-06 18:20
189K
gearmand-1.1.22-r0.apk
2025-09-06 18:20
164K
gearman-libs-1.1.22-r0.apk
2025-09-06 18:20
77K
gearman-dev-1.1.22-r0.apk
2025-09-06 18:20
1.1M
gdcm-doc-pdf-3.2.2-r3.apk
2025-12-02 06:55
14M
gdcm-doc-html-3.2.2-r3.apk
2025-12-02 06:55
8.9M
gdcm-doc-3.2.2-r3.apk
2025-12-02 06:55
55K
gdcm-dev-3.2.2-r3.apk
2025-12-02 06:55
450K
gdcm-3.2.2-r3.apk
2025-12-02 06:55
403K
gcli-doc-2.9.1-r0.apk
2025-11-09 17:39
38K
gcli-2.9.1-r0.apk
2025-11-09 17:39
129K
gb-0.4.4-r37.apk
2026-01-17 23:42
6.7M
gaupol-pyc-1.12-r2.apk
2024-10-25 21:09
419K
gaupol-lang-1.12-r2.apk
2024-10-25 21:09
277K
gaupol-doc-1.12-r2.apk
2024-10-25 21:09
2.4K
gaupol-1.12-r2.apk
2024-10-25 21:09
276K
gatling-openrc-0.16-r6.apk
2024-10-25 21:09
2.8K
gatling-doc-0.16-r6.apk
2024-10-25 21:09
9.1K
gatling-0.16-r6.apk
2024-10-25 21:09
146K
gammastep-pyc-2.0.9-r3.apk
2024-10-25 21:09
17K
gammastep-lang-2.0.9-r3.apk
2024-10-25 21:09
78K
gammastep-doc-2.0.9-r3.apk
2024-10-25 21:09
14K
gammastep-2.0.9-r3.apk
2024-10-25 21:09
90K
game-devices-udev-0.25-r0.apk
2025-10-23 07:18
6.9K
gambit-doc-4.9.5-r1.apk
2025-04-07 12:34
4.3K
gambit-dev-4.9.5-r1.apk
2025-04-07 12:34
7.0M
gambit-4.9.5-r1.apk
2025-04-07 12:34
11M
fynedesk-0.4.0-r3.apk
2026-01-17 23:42
12M
fxload-2008.10.13-r0.apk
2025-12-07 00:07
8.7K
fxfloorboard-katana-mk2-doc-20240515-r1.apk
2024-10-25 21:09
1.1M
fxfloorboard-katana-mk2-20240515-r1.apk
2024-10-25 21:09
5.5M
fwallet-1.2.0-r7.apk
2025-11-17 00:39
8.2M
fuzzylite-libs-6.0-r2.apk
2025-02-01 17:09
335K
fuzzylite-doc-6.0-r2.apk
2025-02-01 17:09
2.1K
fuzzylite-dev-6.0-r2.apk
2025-02-01 17:09
68K
fuzzylite-6.0-r2.apk
2025-02-01 17:09
4.5K
futhark-0.25.28-r0.apk
2025-03-11 23:54
23M
fusesoc-pyc-2.3-r0.apk
2024-10-25 21:09
89K
fusesoc-2.3-r0.apk
2024-10-25 21:09
46K
fuseiso-doc-20070708-r0.apk
2026-01-01 20:37
2.6K
fuseiso-20070708-r0.apk
2026-01-01 20:37
16K
fusee-nano-udev-0.5.3-r1.apk
2024-10-25 21:09
1.7K
fusee-nano-0.5.3-r1.apk
2024-10-25 21:09
21K
fulcrum-doc-1.9.8-r1.apk
2024-10-25 21:09
22K
fulcrum-admin-1.9.8-r1.apk
2024-10-25 21:09
7.9K
fulcrum-1.9.8-r1.apk
2024-10-25 21:09
901K
freshrss-themes-1.28.0-r0.apk
2026-01-02 02:39
1.5M
freshrss-sqlite-1.28.0-r0.apk
2026-01-02 02:39
1.3K
freshrss-pgsql-1.28.0-r0.apk
2026-01-02 02:39
1.3K
freshrss-openrc-1.28.0-r0.apk
2026-01-02 02:39
2.5K
freshrss-mysql-1.28.0-r0.apk
2026-01-02 02:39
1.3K
freshrss-lang-1.28.0-r0.apk
2026-01-02 02:39
507K
freshrss-doc-1.28.0-r0.apk
2026-01-02 02:39
912K
freshrss-1.28.0-r0.apk
2026-01-02 02:39
1.7M
frescobaldi-pyc-3.3.0-r1.apk
2024-10-25 21:09
1.2M
frescobaldi-doc-3.3.0-r1.apk
2024-10-25 21:09
2.5K
frescobaldi-3.3.0-r1.apk
2024-10-25 21:09
3.5M
freetube-0.23.13-r0.apk
2026-01-23 22:55
1.6M
freediameter-libfdproto-1.5.0-r1.apk
2024-10-25 21:09
81K
freediameter-libfdcore-1.5.0-r1.apk
2024-10-25 21:09
149K
freediameter-extensions-1.5.0-r1.apk
2024-10-25 21:09
357K
freediameter-dev-1.5.0-r1.apk
2024-10-25 21:09
54K
freediameter-1.5.0-r1.apk
2024-10-25 21:09
9.0K
freealut-dev-1.1.0-r1.apk
2024-10-25 21:09
24K
freealut-1.1.0-r1.apk
2024-10-25 21:09
18K
fq-0.16.0-r1.apk
2026-01-17 23:42
4.2M
fpp-doc-0.9.5-r0.apk
2024-10-25 21:09
5.6K
fpp-0.9.5-r0.apk
2024-10-25 21:09
29K
fpc-stage0-3.2.2-r3.apk
2024-10-25 21:09
6.6M
fpc-doc-3.2.2-r4.apk
2024-10-25 21:09
1.2M
fpc-3.2.2-r4.apk
2024-10-25 21:09
69M
formiko-pyc-1.5.0-r1.apk
2026-01-08 03:33
61K
formiko-doc-1.5.0-r1.apk
2026-01-08 03:33
8.5K
formiko-1.5.0-r1.apk
2026-01-08 03:33
107K
foolsm-openrc-1.0.21-r0.apk
2024-10-25 21:09
1.6K
foolsm-doc-1.0.21-r0.apk
2024-10-25 21:09
3.9K
foolsm-1.0.21-r0.apk
2024-10-25 21:09
33K
font-tiresias-doc-0_git20200704-r0.apk
2024-10-25 21:09
58K
font-tiresias-0_git20200704-r0.apk
2024-10-25 21:09
568K
font-tinos-0_git20210228-r0.apk
2024-10-25 21:09
199K
font-terminus-ttf-4.49.3-r0.apk
2025-07-12 08:30
538K
font-tamzen-1.11.5-r1.apk
2024-10-25 21:09
62K
font-stix-ttf-2.13-r0.apk
2024-10-25 21:09
430K
font-stix-otf-2.13-r0.apk
2024-10-25 21:09
2.0M
font-siji-20190218_git-r2.apk
2024-10-25 21:09
24K
font-openmoji-16.0.0-r0.apk
2025-08-11 08:31
1.4M
font-monocraft-4.0-r0.apk
2024-10-25 21:09
677K
font-monaspace-xenon-1.101-r0.apk
2024-10-25 21:09
2.3M
font-monaspace-radon-1.101-r0.apk
2024-10-25 21:09
2.7M
font-monaspace-neon-1.101-r0.apk
2024-10-25 21:09
2.1M
font-monaspace-krypton-1.101-r0.apk
2024-10-25 21:09
2.1M
font-monaspace-argon-1.101-r0.apk
2024-10-25 21:09
2.2M
font-monaspace-1.101-r0.apk
2024-10-25 21:09
1.5K
font-material-icons-4.0.0-r0.apk
2024-10-25 21:09
652K
font-katex-0.16.2-r0.apk
2024-10-25 21:09
852K
font-intel-one-mono-1.3.0-r0.apk
2024-10-25 21:09
281K
font-hanazono-20170904-r2.apk
2025-09-15 15:00
29M
font-fontawesome-4-4.7.0-r3.apk
2024-10-25 21:09
205K
font-firamath-0.3.4-r0.apk
2024-10-25 21:09
118K
font-fira-code-vf-6.2-r0.apk
2024-10-25 21:09
145K
font-fira-code-6.2-r0.apk
2024-10-25 21:09
836K
font-fantasque-sans-normal-1.8.0-r0.apk
2024-10-25 21:09
316K
font-fantasque-sans-noloopk-1.8.0-r0.apk
2024-10-25 21:09
316K
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk
2024-10-25 21:09
316K
font-fantasque-sans-largelineheight-1.8.0-r0.apk
2024-10-25 21:09
316K
font-fantasque-sans-doc-1.8.0-r0.apk
2024-10-25 21:09
5.5K
font-fantasque-sans-1.8.0-r0.apk
2024-10-25 21:09
1.2K
font-cousine-0_git20210228-r0.apk
2024-10-25 21:09
110K
font-commit-mono-1.143-r0.apk
2024-10-25 21:09
251K
font-comic-neue-doc-2.51-r0.apk
2024-10-25 21:09
1.0M
font-comic-neue-2.51-r0.apk
2024-10-25 21:09
249K
font-chivo-mono-0_git20221110-r0.apk
2024-10-25 21:09
626K
font-chivo-0_git20221110-r0.apk
2024-10-25 21:09
792K
font-cascadia-mono-2407.24-r1.apk
2025-05-27 16:36
507K
font-cascadia-code-2407.24-r1.apk
2025-05-27 16:36
526K
font-cascadia-2407.24-r1.apk
2025-05-27 16:36
1.3K
font-babelstone-han-15.1.3-r0.apk
2024-10-25 21:09
18M
font-aref-ruqaa-1.006-r0.apk
2025-04-13 22:28
357K
font-anonymous-pro-1.002-r2.apk
2024-10-25 21:09
264K
font-andika-six-6.210-r0.apk
2025-09-28 13:21
1.5M
foma-dev-0.10.0_git20240712-r0.apk
2024-10-25 21:09
8.5K
foma-0.10.0_git20240712-r0.apk
2024-10-25 21:09
322K
fnf-doc-0.1-r0.apk
2024-10-25 21:09
4.6K
fnf-0.1-r0.apk
2024-10-25 21:09
17K
flutter-tool-developer-3.38.4-r2.apk
2026-01-14 05:08
1.7K
flutter-tool-3.38.4-r2.apk
2026-01-14 05:08
16M
flutter-gtk-3.38.4-r2.apk
2026-01-14 05:08
5.2M
flutter-glfw-3.38.4-r2.apk
2026-01-14 05:08
5.2M
flutter-developer-3.38.4-r2.apk
2026-01-14 05:08
2.4M
flutter-desktop-3.38.4-r2.apk
2026-01-14 05:08
31M
flutter-common-3.38.4-r2.apk
2026-01-14 05:08
36M
flutter-3.38.4-r2.apk
2026-01-14 05:08
1.3K
fluent-bit-openrc-4.2.0-r0.apk
2025-12-04 19:49
1.7K
fluent-bit-dev-4.2.0-r0.apk
2025-12-04 19:49
141K
fluent-bit-4.2.0-r0.apk
2025-12-04 19:49
7.7M
flowd-openrc-0.9.1-r11.apk
2025-06-30 09:25
1.9K
flowd-doc-0.9.1-r11.apk
2025-06-30 09:25
10K
flowd-dev-0.9.1-r11.apk
2025-06-30 09:25
8.1K
flowd-0.9.1-r11.apk
2025-06-30 09:25
78K
flightgear-zsh-completion-2024.1.1-r0.apk
2025-03-05 01:16
7.3K
flightgear-doc-2024.1.1-r0.apk
2025-03-05 01:16
58K
flightgear-dbg-2024.1.1-r0.apk
2025-03-05 01:16
21M
flightgear-bash-completion-2024.1.1-r0.apk
2025-03-05 01:16
5.5K
flightgear-2024.1.1-r0.apk
2025-03-05 01:16
9.8M
flawz-zsh-completion-0.3.0-r0.apk
2024-11-03 22:06
2.2K
flawz-fish-completion-0.3.0-r0.apk
2024-11-03 22:06
1.9K
flawz-doc-0.3.0-r0.apk
2024-11-03 22:06
6.0K
flawz-bash-completion-0.3.0-r0.apk
2024-11-03 22:06
2.1K
flawz-0.3.0-r0.apk
2024-11-03 22:06
1.1M
flauschige-uhr-0.1-r1.apk
2024-10-25 21:09
4.5K
flatseal-lang-2.3.1-r0.apk
2025-06-19 17:30
79K
flatseal-doc-2.3.1-r0.apk
2025-06-19 17:30
8.5K
flatseal-2.3.1-r0.apk
2025-06-19 17:30
43K
flare-game-1.14-r0.apk
2024-10-25 21:09
2.2K
flare-engine-doc-1.14-r1.apk
2025-11-05 12:39
2.5K
flare-engine-1.14-r1.apk
2025-11-05 12:39
4.4M
flann-doc-1.9.2-r1.apk
2025-02-17 16:07
2.5K
flann-dev-1.9.2-r1.apk
2025-02-17 16:07
1.0M
flann-1.9.2-r1.apk
2025-02-17 16:07
1.6M
flamelens-doc-0.3.1-r0.apk
2025-05-03 22:03
3.7K
flamelens-0.3.1-r0.apk
2025-05-03 22:03
1.1M
firehol-openrc-3.1.7-r2.apk
2024-10-25 21:09
2.1K
firehol-doc-3.1.7-r2.apk
2024-10-25 21:09
675K
firehol-3.1.7-r2.apk
2024-10-25 21:09
85K
firefox-developer-edition-147.0_beta6-r1.apk
2026-01-14 05:08
84M
firectl-0.2.0-r25.apk
2026-01-17 23:42
3.4M
finger-doc-0.5-r0.apk
2024-10-25 21:09
3.8K
finger-0.5-r0.apk
2024-10-25 21:09
8.6K
findtow-0.1-r0.apk
2024-10-25 21:09
4.7K
fileshelter-openrc-6.2.0-r4.apk
2025-09-28 00:22
1.7K
fileshelter-6.2.0-r4.apk
2025-09-28 00:22
308K
filebrowser-openrc-2.27.0-r17.apk
2026-01-17 23:42
1.8K
filebrowser-2.27.0-r17.apk
2026-01-17 23:42
7.1M
filebeat-openrc-9.2.0-r2.apk
2026-01-17 23:42
2.0K
filebeat-9.2.0-r2.apk
2026-01-17 23:42
35M
fildesh-vim-0.2.0-r0.apk
2024-10-25 21:09
3.5K
fildesh-doc-0.2.0-r0.apk
2024-10-25 21:09
2.1K
fildesh-0.2.0-r0.apk
2024-10-25 21:09
68K
fheroes2-lang-1.1.13-r0.apk
2025-12-22 17:41
1.8M
fheroes2-1.1.13-r0.apk
2025-12-22 17:41
1.7M
ffsend-zsh-completion-0.2.76-r4.apk
2024-10-25 21:09
4.6K
ffsend-fish-completion-0.2.76-r4.apk
2024-10-25 21:09
3.6K
ffsend-bash-completion-0.2.76-r4.apk
2024-10-25 21:09
3.6K
ffsend-0.2.76-r4.apk
2024-10-25 21:09
1.5M
ffms2-doc-5.0-r2.apk
2025-09-27 15:54
30K
ffms2-dev-5.0-r2.apk
2025-09-27 15:54
7.5K
ffms2-5.0-r2.apk
2025-09-27 15:54
71K
ffmpeg4-libswscale-4.4.6-r0.apk
2026-01-04 02:24
150K
ffmpeg4-libswresample-4.4.6-r0.apk
2026-01-04 02:24
41K
ffmpeg4-libpostproc-4.4.6-r0.apk
2026-01-04 02:24
27K
ffmpeg4-libavutil-4.4.6-r0.apk
2026-01-04 02:24
286K
ffmpeg4-libavformat-4.4.6-r0.apk
2026-01-04 02:24
1.2M
ffmpeg4-libavfilter-4.4.6-r0.apk
2026-01-04 02:24
1.5M
ffmpeg4-libavdevice-4.4.6-r0.apk
2026-01-04 02:24
48K
ffmpeg4-libavcodec-4.4.6-r0.apk
2026-01-04 02:24
6.3M
ffmpeg4-dev-4.4.6-r0.apk
2026-01-04 02:24
281K
ffmpeg4-4.4.6-r0.apk
2026-01-04 02:24
34K
fflas-ffpack-2.5.0-r3.apk
2024-10-25 21:09
345K
fff-doc-2.2-r0.apk
2024-10-25 21:09
9.0K
fff-2.2-r0.apk
2024-10-25 21:09
11K
femto-doc-2.24.1-r0.apk
2025-09-06 18:20
49K
femto-2.24.1-r0.apk
2025-09-06 18:20
64K
felix-2.16.1-r0.apk
2025-05-16 10:30
616K
featherpad-lang-1.6.2-r0.apk
2025-11-28 20:40
485K
featherpad-1.6.2-r0.apk
2025-11-28 20:40
675K
fdm-materials-5.2.2-r1.apk
2024-10-25 21:09
60K
fcitx5-mozc-lang-2.32.5994.102.20251109-r0.apk
2025-11-17 00:39
8.5K
fcitx5-mozc-doc-2.32.5994.102.20251109-r0.apk
2025-11-17 00:39
20K
fcitx5-mozc-2.32.5994.102.20251109-r0.apk
2025-11-17 00:39
16M
fceux-doc-2.6.6-r4.apk
2025-09-27 15:54
105K
fceux-2.6.6-r4.apk
2025-09-27 15:54
2.8M
fbdebug-1.0.1-r0.apk
2025-12-19 22:47
5.6K
fbcur-doc-1.0.1-r1.apk
2024-10-25 21:09
2.2K
fbcur-1.0.1-r1.apk
2024-10-25 21:09
6.7K
fava-pyc-1.28-r0.apk
2024-10-25 21:09
164K
fava-1.28-r0.apk
2024-10-25 21:09
1.1M
faust-vim-2.79.3-r0.apk
2025-06-07 19:01
2.6K
faust-tools-2.79.3-r0.apk
2025-06-07 19:01
122K
faust-static-2.79.3-r0.apk
2025-06-07 19:01
536K
faust-doc-2.79.3-r0.apk
2025-06-07 19:01
17M
faust-dev-2.79.3-r0.apk
2025-06-07 19:01
1.4M
faust-2.79.3-r0.apk
2025-06-07 19:01
7.5M
faultstat-doc-0.01.11-r0.apk
2024-10-25 21:09
3.0K
faultstat-bash-completion-0.01.11-r0.apk
2024-10-25 21:09
2.3K
faultstat-0.01.11-r0.apk
2024-10-25 21:09
13K
fatresize-doc-1.1.0-r1.apk
2024-10-25 21:09
15K
fatresize-1.1.0-r1.apk
2024-10-25 21:09
8.7K
fatrace-doc-0.18.0-r0.apk
2025-07-27 21:28
3.3K
fatrace-0.18.0-r0.apk
2025-07-27 21:28
10K
fathom-1.3.1-r19.apk
2026-01-17 23:42
4.6M
fatback-doc-1.3-r2.apk
2024-10-25 21:09
16K
fatback-1.3-r2.apk
2024-10-25 21:09
28K
fastd-openrc-23-r0.apk
2025-01-27 22:33
1.7K
fastd-doc-23-r0.apk
2025-01-27 22:33
3.3K
fastd-23-r0.apk
2025-01-27 22:33
71K
fast-double-parser-0.8.1-r0.apk
2025-10-20 12:30
25K
fakeroot-tcp-1.32.1-r1.apk
2024-10-25 21:09
30K
faircamp-1.7.0-r1.apk
2026-01-13 00:13
2.3M
fabric-pyc-3.2.2-r1.apk
2024-10-25 21:09
60K
fabric-3.2.2-r1.apk
2024-10-25 21:09
55K
extundelete-0.2.4-r1.apk
2024-10-25 21:09
39K
extremetuxracer-doc-0.8.3-r0.apk
2024-10-25 21:09
6.7K
extremetuxracer-0.8.3-r0.apk
2024-10-25 21:09
40M
extrace-doc-0.9-r0.apk
2024-10-25 21:09
3.5K
extrace-0.9-r0.apk
2024-10-25 21:09
11K
exercism-zsh-completion-3.2.0-r19.apk
2026-01-17 23:42
2.1K
exercism-fish-completion-3.2.0-r19.apk
2026-01-17 23:42
2.4K
exercism-bash-completion-3.2.0-r19.apk
2026-01-17 23:42
2.0K
exercism-3.2.0-r19.apk
2026-01-17 23:42
3.9M
exabgp-pyc-4.2.24-r1.apk
2025-09-06 18:20
778K
exabgp-openrc-4.2.24-r1.apk
2025-09-06 18:20
2.3K
exabgp-doc-4.2.24-r1.apk
2025-09-06 18:20
8.1K
exabgp-4.2.24-r1.apk
2025-09-06 18:20
385K
eww-0.6.0-r0.apk
2025-11-09 20:42
2.8M
evolution-on-3.24.4-r1.apk
2025-09-18 19:36
11K
evolution-etesync-lang-1.1.2-r0.apk
2026-01-11 18:59
25K
evolution-etesync-1.1.2-r0.apk
2026-01-11 18:59
63K
eva-0.3.1-r2.apk
2024-10-25 21:09
615K
ettercap-doc-0.8.3.1-r3.apk
2024-10-25 21:09
45K
ettercap-0.8.3.1-r3.apk
2024-10-25 21:09
610K
esptool-pyc-4.8.1-r0.apk
2024-10-25 21:09
549K
esptool-4.8.1-r0.apk
2024-10-25 21:09
424K
espeakup-openrc-0.90-r2.apk
2024-10-25 21:09
1.8K
espeakup-0.90-r2.apk
2024-10-25 21:09
12K
errands-lang-46.2.10-r0.apk
2026-01-08 03:33
78K
errands-46.2.10-r0.apk
2026-01-08 03:33
85K
ergo-ldap-doc-0.0.1-r23.apk
2026-01-17 23:42
2.3K
ergo-ldap-0.0.1-r23.apk
2026-01-17 23:42
2.1M
epr-pyc-2.4.15-r1.apk
2024-10-25 21:09
24K
epr-2.4.15-r1.apk
2024-10-25 21:09
16K
epoch-1.3.0-r2.apk
2024-10-25 21:09
52K
envsubst-0.1-r1.apk
2024-10-25 21:09
4.6K
envconsul-0.13.4-r2.apk
2026-01-17 23:42
4.7M
enlighten-doc-0.9.2-r1.apk
2024-10-25 21:09
3.5K
enlighten-0.9.2-r1.apk
2024-10-25 21:09
7.1K
enjoy-0.3-r1.apk
2024-10-25 21:09
12K
endeavour-lang-43.0-r2.apk
2024-12-08 22:43
203K
endeavour-doc-43.0-r2.apk
2024-12-08 22:43
68K
endeavour-dev-43.0-r2.apk
2024-12-08 22:43
46K
endeavour-43.0-r2.apk
2024-12-08 22:43
189K
emulationstation-theme-gbz35-2.11.2-r1.apk
2024-10-25 21:09
3.3M
emulationstation-2.11.2-r1.apk
2024-10-25 21:09
1.2M
empede-openrc-0.2.3-r0.apk
2024-10-25 21:09
1.9K
empede-doc-0.2.3-r0.apk
2024-10-25 21:09
2.3K
empede-0.2.3-r0.apk
2024-10-25 21:09
1.6M
emmylua-ls-doc-0.19.0-r0.apk
2026-01-24 13:54
37K
emmylua-ls-0.19.0-r0.apk
2026-01-24 13:54
2.7M
emmylua-doc-cli-0.19.0-r0.apk
2026-01-24 13:54
2.2M
emmylua-check-0.19.0-r0.apk
2026-01-24 13:54
1.8M
emacs-persist-0.6_git20240114-r0.apk
2024-10-25 21:09
6.6K
emacs-lsp-booster-doc-0.2.1-r0.apk
2025-04-13 22:28
2.3K
emacs-lsp-booster-0.2.1-r0.apk
2025-04-13 22:28
389K
emacs-ement-0.16-r0.apk
2025-05-03 22:02
291K
eludris-doc-0.3.3-r1.apk
2024-10-25 21:09
2.3K
eludris-0.3.3-r1.apk
2024-10-25 21:09
1.8M
elf_diff-pyc-0.7.1-r3.apk
2024-10-25 21:09
108K
elf_diff-0.7.1-r3.apk
2024-10-25 21:09
108K
elementary-videos-lang-8.0.2-r0.apk
2025-09-02 04:28
83K
elementary-videos-8.0.2-r0.apk
2025-09-02 04:28
115K
elementary-theme-8.1.0-r0.apk
2025-01-12 21:47
1.5M
elementary-sound-theme-1.1.0-r0.apk
2024-11-10 23:07
83K
elementary-settings-daemon-openrc-8.3.0-r0.apk
2025-05-26 11:05
1.8K
elementary-settings-daemon-lang-8.3.0-r0.apk
2025-05-26 11:05
74K
elementary-settings-daemon-8.3.0-r0.apk
2025-05-26 11:05
81K
elementary-photos-lang-8.0.1-r2.apk
2026-01-21 16:51
1.0M
elementary-photos-8.0.1-r2.apk
2026-01-21 16:51
1.1M
elementary-music-lang-8.0.0-r0.apk
2024-10-28 23:05
47K
elementary-music-8.0.0-r0.apk
2024-10-28 23:05
74K
elementary-icon-theme-8.1.0-r0.apk
2025-05-13 23:59
5.0M
elementary-feedback-lang-8.1.0-r0.apk
2025-11-22 16:38
48K
elementary-feedback-8.1.0-r0.apk
2025-11-22 16:38
47K
elementary-dock-lang-8.0.2-r0.apk
2025-05-25 00:57
28K
elementary-dock-8.0.2-r0.apk
2025-05-25 00:57
88K
elementary-camera-lang-8.0.2-r0.apk
2025-09-02 04:25
35K
elementary-camera-8.0.2-r0.apk
2025-09-02 04:25
87K
elementary-calculator-lang-8.0.1-r0.apk
2025-09-02 04:29
59K
elementary-calculator-8.0.1-r0.apk
2025-09-02 04:29
72K
element-desktop-1.12.8-r1.apk
2026-01-23 16:02
31M
electron-tasje-0.7.4-r0.apk
2026-01-09 23:26
1.2M
electron-lang-39.2.7-r0.apk
2025-12-18 17:05
11M
electron-dev-39.2.7-r0.apk
2025-12-18 17:05
341K
electron-39.2.7-r0.apk
2025-12-18 17:05
99M
elastic-beats-9.2.0-r2.apk
2026-01-17 23:42
1.3K
eiwd-openrc-3.10-r0.apk
2025-10-09 19:37
1.9K
eiwd-doc-3.10-r0.apk
2025-10-09 19:37
21K
eiwd-3.10-r0.apk
2025-10-09 19:37
799K
efl-gdb-1.28.1-r2.apk
2025-03-26 12:50
1.7K
efl-dev-1.28.1-r2.apk
2025-03-26 12:50
1.8M
efl-1.28.1-r2.apk
2025-03-26 12:50
34M
edward-doc-1.1.0-r0.apk
2024-10-25 21:09
5.3K
edward-1.1.0-r0.apk
2024-10-25 21:09
1.9M
edit-doc-1.2.1-r0.apk
2025-10-16 15:17
2.2K
edit-1.2.1-r0.apk
2025-10-16 15:17
234K
ecos-dev-2.0.10-r0.apk
2024-10-25 21:09
28K
ecos-2.0.10-r0.apk
2024-10-25 21:09
38K
eclipse-ecj-4.37-r0.apk
2025-09-22 15:04
2.5M
eccodes-2.45.0-r0.apk
2026-01-19 19:00
11M
ecasound-doc-2.9.3-r4.apk
2025-02-24 22:33
38K
ecasound-dev-2.9.3-r4.apk
2025-02-24 22:33
1.1M
ecasound-2.9.3-r4.apk
2025-02-24 22:33
679K
eatmemory-0.1.6-r2.apk
2024-10-25 21:09
4.4K
e16-lang-1.0.30-r0.apk
2024-11-05 14:22
380K
e16-doc-1.0.30-r0.apk
2024-11-05 14:22
27K
e16-1.0.30-r0.apk
2024-11-05 14:22
787K
dwl-doc-0.7-r0.apk
2024-10-25 21:09
3.1K
dwl-0.7-r0.apk
2024-10-25 21:09
27K
dvisvgm-doc-3.4.4-r0.apk
2025-05-19 00:43
26K
dvisvgm-3.4.4-r0.apk
2025-05-19 00:43
1.1M
dvdbackup-lang-0.4.2-r1.apk
2024-10-25 21:09
1.4K
dvdbackup-doc-0.4.2-r1.apk
2024-10-25 21:09
7.6K
dvdbackup-0.4.2-r1.apk
2024-10-25 21:09
16K
dustracing2d-2.1.1-r1.apk
2024-10-25 21:09
5.1M
dum-0.1.20-r1.apk
2025-04-02 19:03
324K
dulcepan-1.0.2-r0.apk
2024-10-25 21:09
20K
duf-doc-0.9.1-r3.apk
2026-01-17 23:42
4.7K
duf-0.9.1-r3.apk
2026-01-17 23:42
1.2M
duckdb-libs-1.4.3-r1.apk
2026-01-08 16:54
18M
duckdb-doc-1.4.3-r1.apk
2026-01-08 16:54
2.2K
duckdb-dev-1.4.3-r1.apk
2026-01-08 16:54
26M
duckdb-1.4.3-r1.apk
2026-01-08 16:54
16M
duc-doc-1.4.5-r0.apk
2024-10-25 21:09
9.1K
duc-1.4.5-r0.apk
2024-10-25 21:09
88K
dublin-traceroute-doc-0.4.2-r4.apk
2024-10-25 21:09
2.3K
dublin-traceroute-dev-0.4.2-r4.apk
2024-10-25 21:09
6.9K
dublin-traceroute-contrib-0.4.2-r4.apk
2024-10-25 21:09
2.9K
dublin-traceroute-0.4.2-r4.apk
2024-10-25 21:09
46K
dstask-zsh-completion-0.27-r5.apk
2026-01-17 23:42
1.7K
dstask-import-0.27-r5.apk
2026-01-17 23:42
3.3M
dstask-fish-completion-0.27-r5.apk
2026-01-17 23:42
1.7K
dstask-bash-completion-0.27-r5.apk
2026-01-17 23:42
2.1K
dstask-0.27-r5.apk
2026-01-17 23:42
1.5M
drupal7-doc-7.103-r0.apk
2024-12-04 18:28
57K
drupal7-7.103-r0.apk
2024-12-04 18:28
3.3M
drumgizmo-0.9.20-r1.apk
2024-10-25 21:09
395K
dropwatch-doc-1.5.5-r2.apk
2025-12-04 19:49
3.7K
dropwatch-1.5.5-r2.apk
2025-12-04 19:49
17K
drone-cli-1.8.0-r16.apk
2026-01-17 23:42
5.6M
droidcam-gui-2.1.3-r3.apk
2025-09-27 15:54
33K
droidcam-2.1.3-r3.apk
2025-09-27 15:54
19K
drogon-doc-1.9.4-r2.apk
2025-05-22 08:53
2.3K
drogon-dev-1.9.4-r2.apk
2025-05-22 08:53
121K
drogon-1.9.4-r2.apk
2025-05-22 08:53
1.4M
draw-0.1.1-r19.apk
2026-01-17 23:42
1.0M
draco-tools-1.5.7-r2.apk
2025-02-17 16:07
1.2M
draco-static-1.5.7-r2.apk
2025-02-17 16:07
1.5M
draco-dev-1.5.7-r2.apk
2025-02-17 16:07
205K
draco-1.5.7-r2.apk
2025-02-17 16:07
806K
dprint-zsh-completion-0.49.1-r0.apk
2025-04-14 00:35
4.1K
dprint-fish-completion-0.49.1-r0.apk
2025-04-14 00:35
3.8K
dprint-doc-0.49.1-r0.apk
2025-04-14 00:35
3.2K
dprint-bash-completion-0.49.1-r0.apk
2025-04-14 00:35
3.2K
dprint-0.49.1-r0.apk
2025-04-14 00:35
3.8M
downloader-cli-0.3.4-r2.apk
2025-05-14 20:17
2.0K
dotenv-linter-4.0.0-r0.apk
2025-11-22 17:24
1.4M
dooit-pyc-3.3.3-r0.apk
2025-10-27 10:05
103K
dooit-extras-pyc-0.2.0-r0.apk
2024-12-07 21:23
23K
dooit-extras-0.2.0-r0.apk
2024-12-07 21:23
13K
dooit-3.3.3-r0.apk
2025-10-27 10:05
46K
dolt-1.79.1-r2.apk
2026-01-17 23:42
37M
dodo-pyc-0_git20250926-r0.apk
2025-09-27 23:59
89K
dodo-0_git20250926-r0.apk
2025-09-27 23:59
188K
dockerize-0.9.6-r3.apk
2026-01-17 23:42
3.2M
docker-volume-local-persist-openrc-1.3.0-r39.apk
2026-01-17 23:42
1.8K
docker-volume-local-persist-1.3.0-r39.apk
2026-01-17 23:42
2.4M
docker-machine-driver-kvm2-1.34.0-r11.apk
2026-01-21 02:29
4.0M
doasedit-1.0.9-r0.apk
2025-10-31 14:29
3.5K
dnssec-tools-doc-2.2.3-r13.apk
2025-06-30 09:25
317K
dnssec-tools-dev-2.2.3-r13.apk
2025-06-30 09:25
190K
dnssec-tools-2.2.3-r13.apk
2025-06-30 09:25
766K
dnsperf-doc-2.14.0-r0.apk
2024-10-25 21:08
35K
dnsperf-2.14.0-r0.apk
2024-10-25 21:08
72K
dnscrypt-wrapper-0.4.2-r3.apk
2024-10-25 21:08
30K
dnscontrol-doc-4.32.0-r0.apk
2026-01-25 19:41
2.3K
dnscontrol-4.32.0-r0.apk
2026-01-25 19:41
16M
dnote-zsh-completion-0.16.0-r1.apk
2026-01-17 23:42
2.0K
dnote-doc-0.16.0-r1.apk
2026-01-17 23:42
6.3K
dnote-bash-completion-0.16.0-r1.apk
2026-01-17 23:42
2.1K
dnote-0.16.0-r1.apk
2026-01-17 23:42
3.7M
dmenu-wl-doc-0.1-r0.apk
2025-07-02 12:32
4.1K
dmenu-wl-0.1-r0.apk
2025-07-02 12:32
18K
dmarc-metrics-exporter-pyc-1.2.0-r0.apk
2024-11-29 23:02
46K
dmarc-metrics-exporter-openrc-1.2.0-r0.apk
2024-11-29 23:02
1.9K
dmarc-metrics-exporter-1.2.0-r0.apk
2024-11-29 23:02
25K
dmarc-cat-0.15.0-r12.apk
2026-01-17 23:42
2.6M
dlib-dev-20.0-r0.apk
2026-01-17 23:42
2.4M
dlib-20.0-r0.apk
2026-01-17 23:42
743K
distroshelf-lang-1.3.0-r0.apk
2025-12-27 16:42
37K
distroshelf-1.3.0-r0.apk
2025-12-27 16:42
3.4M
dislocker-libs-0.7.3-r6.apk
2025-07-23 06:04
45K
dislocker-doc-0.7.3-r6.apk
2025-07-23 06:04
6.0K
dislocker-0.7.3-r6.apk
2025-07-23 06:04
16K
diskus-0.8.0-r0.apk
2025-05-19 00:20
317K
diskonaut-0.11.0-r3.apk
2024-10-25 21:08
419K
disfetch-3.7-r0.apk
2024-10-25 21:08
8.3K
diceware-pyc-1.0.1-r0.apk
2025-01-13 23:49
18K
diceware-1.0.1-r0.apk
2025-01-13 23:49
334K
dhewm3-1.5.4-r0.apk
2025-02-17 16:07
5.0M
dfu-programmer-doc-1.1.0-r0.apk
2024-10-25 21:08
5.8K
dfu-programmer-bash-completion-1.1.0-r0.apk
2024-10-25 21:08
2.8K
dfu-programmer-1.1.0-r0.apk
2024-10-25 21:08
36K
dfl-sni-dev-0.3.0-r0.apk
2025-08-21 09:47
5.0K
dfl-sni-0.3.0-r0.apk
2025-08-21 09:47
60K
dfl-login1-dev-0.3.0-r0.apk
2025-08-21 09:47
3.7K
dfl-login1-0.3.0-r0.apk
2025-08-21 09:47
35K
dfl-ipc-dev-0.3.0-r0.apk
2025-08-21 09:47
4.8K
dfl-ipc-0.3.0-r0.apk
2025-08-21 09:47
49K
dfl-applications-dev-0.3.0-r0.apk
2025-08-21 09:47
3.9K
dfl-applications-0.3.0-r0.apk
2025-08-21 09:47
68K
dewduct-0.2.3-r0.apk
2024-10-25 21:08
1.1M
devpod-zsh-completion-0.6.15-r10.apk
2026-01-17 23:42
4.0K
devpod-fish-completion-0.6.15-r10.apk
2026-01-17 23:42
4.3K
devpod-bash-completion-0.6.15-r10.apk
2026-01-17 23:42
5.1K
devpod-0.6.15-r10.apk
2026-01-17 23:42
22M
devil-dev-1.8.0-r0.apk
2024-10-25 21:08
13K
devil-1.8.0-r0.apk
2024-10-25 21:08
241K
deviced-openrc-0_git20250427-r0.apk
2025-07-05 22:03
1.7K
deviced-dev-0_git20250427-r0.apk
2025-07-05 22:03
26K
deviced-0_git20250427-r0.apk
2025-07-05 22:03
123K
detox-doc-2.0.0-r0.apk
2024-10-25 21:08
21K
detox-2.0.0-r0.apk
2024-10-25 21:08
115K
desync-0.9.6-r11.apk
2026-01-17 23:42
7.3M
desed-doc-1.2.1-r1.apk
2024-10-25 21:08
2.9K
desed-1.2.1-r1.apk
2024-10-25 21:08
369K
dehydrated-0.7.1-r0.apk
2024-10-25 21:08
26K
decoder-lang-0.7.0-r0.apk
2025-04-10 15:26
59K
decoder-0.7.0-r0.apk
2025-04-10 15:26
1.9M
deblob-doc-0.12-r0.apk
2025-10-15 00:47
3.8K
deblob-0.12-r0.apk
2025-10-15 00:47
140K
debconf-utils-1.5.82-r0.apk
2024-10-25 21:08
6.7K
debconf-lang-1.5.82-r0.apk
2024-10-25 21:08
132K
debconf-doc-1.5.82-r0.apk
2024-10-25 21:08
27K
debconf-bash-completion-1.5.82-r0.apk
2024-10-25 21:08
1.9K
debconf-1.5.82-r0.apk
2024-10-25 21:08
69K
deadbeef-soxr-20180801-r0.apk
2024-10-25 21:08
5.9K
ddserver-0_git20200930-r1.apk
2024-10-25 21:08
12K
ddgr-zsh-completion-2.2-r0.apk
2024-10-25 21:08
2.7K
ddgr-fish-completion-2.2-r0.apk
2024-10-25 21:08
2.3K
ddgr-doc-2.2-r0.apk
2024-10-25 21:08
12K
ddgr-bash-completion-2.2-r0.apk
2024-10-25 21:08
2.2K
ddgr-2.2-r0.apk
2024-10-25 21:08
20K
ddcci-driver-linux-src-0.4.5-r2.apk
2025-03-26 12:50
19K
dcnnt-pyc-0.10.0-r1.apk
2024-10-25 21:08
62K
dcnnt-doc-0.10.0-r1.apk
2024-10-25 21:08
6.6K
dcnnt-0.10.0-r1.apk
2024-10-25 21:08
28K
dcmtk-openrc-3.7.0-r0.apk
2025-12-28 21:54
1.7K
dcmtk-doc-3.7.0-r0.apk
2025-12-28 21:54
258K
dcmtk-dev-3.7.0-r0.apk
2025-12-28 21:54
1.7M
dcmtk-3.7.0-r0.apk
2025-12-28 21:54
1.3M
dbus-broker-doc-37-r0.apk
2025-06-17 13:01
5.9K
dbus-broker-37-r0.apk
2025-06-17 13:01
84K
dbmate-doc-2.28.0-r2.apk
2026-01-17 23:42
2.3K
dbmate-2.28.0-r2.apk
2026-01-17 23:42
10M
davmail-6.5.1-r0.apk
2025-11-17 00:39
8.3M
dasht-zsh-completion-2.4.0-r0.apk
2024-10-25 21:08
2.1K
dasht-doc-2.4.0-r0.apk
2024-10-25 21:08
11K
dasht-2.4.0-r0.apk
2024-10-25 21:08
14K
dartaotruntime-3.10.3-r1.apk
2026-01-14 05:08
1.4M
dart-stage0-3.10.0_alpha244_p0-r0.apk
2025-11-17 00:39
181M
dart-sdk-3.10.3-r1.apk
2026-01-14 05:08
135M
dart-sass-1.97.2-r0.apk
2026-01-09 22:25
1.4M
dart-3.10.3-r1.apk
2026-01-14 05:08
43M
darkreader-4.9.110-r0.apk
2025-08-21 10:58
767K
darkradiant-lang-3.9.0-r1.apk
2026-01-09 21:12
37K
darkradiant-doc-3.9.0-r1.apk
2026-01-09 21:12
2.2M
darkradiant-3.9.0-r1.apk
2026-01-09 21:12
8.9M
dam-doc-0_git20250728-r0.apk
2025-12-22 22:22
2.3K
dam-0_git20250728-r0.apk
2025-12-22 22:22
13K
daktilo-zsh-completion-0.6.0-r0.apk
2024-10-25 21:08
2.3K
daktilo-fish-completion-0.6.0-r0.apk
2024-10-25 21:08
1.9K
daktilo-doc-0.6.0-r0.apk
2024-10-25 21:08
8.7K
daktilo-bash-completion-0.6.0-r0.apk
2024-10-25 21:08
2.2K
daktilo-0.6.0-r0.apk
2024-10-25 21:08
1.7M
daemontools-openrc-0.76-r3.apk
2024-10-25 21:08
2.0K
daemontools-0.76-r3.apk
2024-10-25 21:08
106K
cz-viator-hourglass-black-20210706-r0.apk
2024-10-25 21:08
219K
cyrus-sasl-xoauth2-static-0.2-r1.apk
2024-10-25 21:08
6.9K
cyrus-sasl-xoauth2-doc-0.2-r1.apk
2024-10-25 21:08
2.3K
cyrus-sasl-xoauth2-0.2-r1.apk
2024-10-25 21:08
6.9K
cvs-fast-export-tools-1.65-r0.apk
2024-10-25 21:08
8.7K
cvs-fast-export-doc-1.65-r0.apk
2024-10-25 21:08
17K
cvs-fast-export-1.65-r0.apk
2024-10-25 21:08
48K
cvise-pyc-2.11.0-r0.apk
2025-03-11 04:55
60K
cvise-2.11.0-r0.apk
2025-03-11 04:55
4.5M
cutechess-doc-1.3.1-r0.apk
2024-10-25 21:08
3.6K
cutechess-cli-doc-1.3.1-r0.apk
2024-10-25 21:08
6.6K
cutechess-cli-1.3.1-r0.apk
2024-10-25 21:08
346K
cutechess-1.3.1-r0.apk
2024-10-25 21:08
1.1M
curtail-lang-1.13.0-r0.apk
2025-07-05 22:39
78K
curtail-1.13.0-r0.apk
2025-07-05 22:39
30K
curlftpfs-doc-0.9.2-r3.apk
2024-10-25 21:08
6.1K
curlftpfs-0.9.2-r3.apk
2024-10-25 21:08
24K
cura-lang-5.2.2-r1.apk
2024-10-25 21:08
4.1M
cura-5.2.2-r1.apk
2024-10-25 21:08
42M
cups-pdf-3.0.2-r0.apk
2025-07-04 23:11
22K
ctorrent-dnh-3.3.2-r2.apk
2024-10-25 21:08
86K
csol-doc-1.6.0-r0.apk
2024-10-25 21:08
3.8K
csol-1.6.0-r0.apk
2024-10-25 21:08
38K
csmith-doc-2.3.0-r2.apk
2024-10-25 21:08
3.1K
csmith-2.3.0-r2.apk
2024-10-25 21:08
309K
csfml-doc-2.5.2-r0.apk
2024-10-25 21:08
204K
csfml-dev-2.5.2-r0.apk
2024-10-25 21:08
77K
csfml-2.5.2-r0.apk
2024-10-25 21:08
96K
cscope-doc-15.9-r1.apk
2024-10-25 21:08
7.5K
cscope-15.9-r1.apk
2024-10-25 21:08
153K
crun-vm-doc-0.3.0-r0.apk
2024-11-12 12:41
13K
crun-vm-0.3.0-r0.apk
2024-11-12 12:41
1.0M
crowdsec-splunk-plugin-1.7.6-r0.apk
2026-01-25 20:04
5.9M
crowdsec-slack-plugin-1.7.6-r0.apk
2026-01-25 20:04
5.9M
crowdsec-sentinel-plugin-1.7.6-r0.apk
2026-01-25 20:04
5.9M
crowdsec-openrc-1.7.6-r0.apk
2026-01-25 20:04
1.8K
crowdsec-http-plugin-1.7.6-r0.apk
2026-01-25 20:04
5.9M
crowdsec-file-plugin-1.7.6-r0.apk
2026-01-25 20:04
5.9M
crowdsec-email-plugin-1.7.6-r0.apk
2026-01-25 20:04
5.9M
crowdsec-1.7.6-r0.apk
2026-01-25 20:04
37M
crow-translate-lang-4.0.2-r0.apk
2025-09-21 16:09
554K
crow-translate-4.0.2-r0.apk
2025-09-21 16:09
11M
crossplane-pyc-0.5.8-r3.apk
2024-10-25 21:08
39K
crossplane-0.5.8-r3.apk
2024-10-25 21:08
30K
crispy-doom-doc-7.1-r0.apk
2025-09-24 04:49
107K
crispy-doom-7.1-r0.apk
2025-09-24 04:49
1.8M
createrepo_c-libs-1.1.4-r1.apk
2025-12-30 21:57
87K
createrepo_c-doc-1.1.4-r1.apk
2025-12-30 21:57
8.6K
createrepo_c-dev-1.1.4-r1.apk
2025-12-30 21:57
31K
createrepo_c-bash-completion-1.1.4-r1.apk
2025-12-30 21:57
2.9K
createrepo_c-1.1.4-r1.apk
2025-12-30 21:57
48K
crazydiskinfo-1.1.0-r1.apk
2024-10-25 21:08
33K
cpufetch-doc-1.07-r0.apk
2025-11-01 11:01
3.2K
cpufetch-1.07-r0.apk
2025-11-01 11:01
47K
cpuburn-1.4a_git20160316-r2.apk
2024-10-25 21:08
3.3K
cpu-x-zsh-completion-5.2.0-r1.apk
2025-05-06 00:11
2.1K
cpu-x-lang-5.2.0-r1.apk
2025-05-06 00:11
266K
cpu-x-fish-completion-5.2.0-r1.apk
2025-05-06 00:11
2.2K
cpu-x-bash-completion-5.2.0-r1.apk
2025-05-06 00:11
2.0K
cpu-x-5.2.0-r1.apk
2025-05-06 00:11
2.1M
cproc-doc-0_git20240427-r1.apk
2024-11-03 22:51
2.8K
cproc-dbg-0_git20240427-r1.apk
2024-11-03 22:51
121K
cproc-0_git20240427-r1.apk
2024-11-03 22:51
54K
cpplint-pyc-2.0.2-r0.apk
2025-04-14 01:33
99K
cpplint-2.0.2-r0.apk
2025-04-14 01:33
80K
cpp-httplib-doc-0.30.1-r0.apk
2026-01-10 16:30
14K
cpp-httplib-0.30.1-r0.apk
2026-01-10 16:30
96K
cpiped-0.1.0-r0.apk
2024-10-25 21:08
6.6K
cowsay-doc-3.04-r2.apk
2024-10-25 21:08
4.0K
cowsay-3.04-r2.apk
2024-10-25 21:08
18K
cortex-tenant-openrc-1.15.7-r3.apk
2026-01-17 23:42
2.0K
cortex-tenant-1.15.7-r3.apk
2026-01-17 23:42
4.0M
corosync-openrc-3.1.10-r0.apk
2025-12-27 11:37
1.8K
corosync-doc-3.1.10-r0.apk
2025-12-27 11:37
191K
corosync-dev-3.1.10-r0.apk
2025-12-27 11:37
476K
corosync-3.1.10-r0.apk
2025-12-27 11:37
295K
copyq-doc-13.0.0-r1.apk
2025-12-04 19:49
3.5K
copyq-bash-completion-13.0.0-r1.apk
2025-12-04 19:49
2.3K
copyq-13.0.0-r1.apk
2025-12-04 19:49
2.6M
convert2json-yaml-json-2.4.1-r0.apk
2025-12-15 17:18
255K
convert2json-yaml-jaq-2.4.1-r0.apk
2025-12-15 17:18
263K
convert2json-yaml-2.4.1-r0.apk
2025-12-15 17:18
1.3K
convert2json-xml-json-2.4.1-r0.apk
2025-12-15 17:18
190K
convert2json-xml-jaq-2.4.1-r0.apk
2025-12-15 17:18
198K
convert2json-xml-2.4.1-r0.apk
2025-12-15 17:18
1.3K
convert2json-toml-json-2.4.1-r0.apk
2025-12-15 17:18
228K
convert2json-toml-jaq-2.4.1-r0.apk
2025-12-15 17:18
237K
convert2json-toml-2.4.1-r0.apk
2025-12-15 17:18
1.3K
convert2json-rsv-json-2.4.1-r0.apk
2025-12-15 17:18
155K
convert2json-rsv-jaq-2.4.1-r0.apk
2025-12-15 17:18
165K
convert2json-rsv-2.4.1-r0.apk
2025-12-15 17:18
1.3K
convert2json-plist-json-2.4.1-r0.apk
2025-12-15 17:18
232K
convert2json-plist-jaq-2.4.1-r0.apk
2025-12-15 17:18
240K
convert2json-plist-2.4.1-r0.apk
2025-12-15 17:18
1.3K
convert2json-messagepack-json-2.4.1-r0.apk
2025-12-15 17:18
191K
convert2json-messagepack-jaq-2.4.1-r0.apk
2025-12-15 17:18
199K
convert2json-messagepack-2.4.1-r0.apk
2025-12-15 17:18
1.3K
convert2json-json-2.4.1-r0.apk
2025-12-15 17:18
1.3K
convert2json-jaq-2.4.1-r0.apk
2025-12-15 17:18
1.4K
convert2json-ini-json-2.4.1-r0.apk
2025-12-15 17:18
177K
convert2json-ini-jaq-2.4.1-r0.apk
2025-12-15 17:18
185K
convert2json-ini-2.4.1-r0.apk
2025-12-15 17:18
1.3K
convert2json-doc-2.4.1-r0.apk
2025-12-15 17:18
13K
convert2json-csv-json-2.4.1-r0.apk
2025-12-15 17:18
211K
convert2json-csv-jaq-2.4.1-r0.apk
2025-12-15 17:18
220K
convert2json-csv-2.4.1-r0.apk
2025-12-15 17:18
1.3K
convert2json-cbor-json-2.4.1-r0.apk
2025-12-15 17:18
194K
convert2json-cbor-jaq-2.4.1-r0.apk
2025-12-15 17:18
202K
convert2json-cbor-2.4.1-r0.apk
2025-12-15 17:18
1.3K
convert2json-bson-json-2.4.1-r0.apk
2025-12-15 17:18
227K
convert2json-bson-jaq-2.4.1-r0.apk
2025-12-15 17:18
235K
convert2json-bson-2.4.1-r0.apk
2025-12-15 17:18
1.3K
convert2json-2.4.1-r0.apk
2025-12-15 17:18
1.3K
contractor-0.3.5-r0.apk
2024-11-12 22:56
27K
consul-replicate-0.4.0-r37.apk
2026-01-17 23:42
2.8M
console_bridge-dev-1.0.2-r0.apk
2024-10-25 21:08
4.7K
console_bridge-1.0.2-r0.apk
2024-10-25 21:08
9.6K
conserver-openrc-8.2.7-r0.apk
2025-12-18 18:45
1.7K
conserver-doc-8.2.7-r0.apk
2025-12-18 18:45
28K
conserver-8.2.7-r0.apk
2025-12-18 18:45
129K
conntracct-openrc-0.2.7-r37.apk
2026-01-17 23:42
1.9K
conntracct-0.2.7-r37.apk
2026-01-17 23:42
4.8M
comrak-doc-0.50.0-r0.apk
2026-01-22 13:39
11K
comrak-0.50.0-r0.apk
2026-01-22 13:39
972K
compiz-utils-0.9.14.2-r13.apk
2025-11-29 01:01
3.3K
compiz-pyc-0.9.14.2-r13.apk
2025-11-29 01:01
111K
compiz-lang-0.9.14.2-r13.apk
2025-11-29 01:01
1.2M
compiz-dev-0.9.14.2-r13.apk
2025-11-29 01:01
117K
compiz-0.9.14.2-r13.apk
2025-11-29 01:01
5.9M
commoncpp-tools-7.0.1-r1.apk
2024-10-25 21:08
42K
commoncpp-doc-7.0.1-r1.apk
2024-10-25 21:08
15K
commoncpp-dev-7.0.1-r1.apk
2024-10-25 21:08
173K
commoncpp-7.0.1-r1.apk
2024-10-25 21:08
280K
commit-lsp-0.1.0-r0.apk
2025-05-08 14:22
2.1M
comics-downloader-gui-0.33.8-r16.apk
2026-01-17 23:42
5.3M
comics-downloader-0.33.8-r16.apk
2026-01-17 23:42
3.6M
comet-0.3.2-r0.apk
2026-01-05 02:46
2.8M
colorpicker-0_git20201128-r1.apk
2024-10-25 21:08
4.3K
colormake-doc-0.9.20170221-r0.apk
2024-10-25 21:08
2.7K
colormake-0.9.20170221-r0.apk
2024-10-25 21:08
4.1K
coldbrew-1.0-r0.apk
2026-01-08 03:33
3.9K
codec2-dev-1.2.0-r1.apk
2025-11-22 19:51
15K
codec2-1.2.0-r1.apk
2025-11-22 19:51
674K
code-oss-zsh-completion-1.105.1-r1.apk
2025-11-10 20:20
2.7K
code-oss-bash-completion-1.105.1-r1.apk
2025-11-10 20:20
2.2K
code-oss-1.105.1-r1.apk
2025-11-10 20:20
26M
code-minimap-doc-0.6.7-r0.apk
2024-12-12 20:37
8.0K
code-minimap-0.6.7-r0.apk
2024-12-12 20:37
332K
cocogitto-zsh-completion-6.5.0-r0.apk
2025-11-02 19:21
3.2K
cocogitto-fish-completion-6.5.0-r0.apk
2025-11-02 19:21
3.8K
cocogitto-doc-6.5.0-r0.apk
2025-11-02 19:21
40K
cocogitto-bash-completion-6.5.0-r0.apk
2025-11-02 19:21
3.2K
cocogitto-6.5.0-r0.apk
2025-11-02 19:21
1.7M
coccinelle-doc-1.1.1-r2.apk
2024-10-25 21:08
16K
coccinelle-bash-completion-1.1.1-r2.apk
2024-10-25 21:08
2.9K
coccinelle-1.1.1-r2.apk
2024-10-25 21:08
9.0M
cobang-lang-2.3.1-r0.apk
2026-01-08 03:33
16K
cobang-2.3.1-r0.apk
2026-01-08 03:33
50K
cmusfm-0.5.0-r1.apk
2025-08-27 06:14
16K
cm256cc-dev-1.1.1-r1.apk
2025-02-09 00:46
15K
cm256cc-1.1.1-r1.apk
2025-02-09 00:46
11K
cluster-glue-libs-1.0.12-r5.apk
2024-10-25 21:08
118K
cluster-glue-doc-1.0.12-r5.apk
2024-10-25 21:08
33K
cluster-glue-dev-1.0.12-r5.apk
2024-10-25 21:08
1.1M
cluster-glue-1.0.12-r5.apk
2024-10-25 21:08
311K
cloudfoundry-cli-8.7.9-r15.apk
2026-01-17 23:42
8.6M
cloudflared-openrc-2025.11.1-r12.apk
2026-01-17 23:42
1.8K
cloudflared-doc-2025.11.1-r12.apk
2026-01-17 23:42
1.9K
cloudflared-2025.11.1-r12.apk
2026-01-17 23:42
9.2M
cloud-hypervisor-doc-48.0-r0.apk
2025-10-28 08:22
68K
cloud-hypervisor-48.0-r0.apk
2025-10-28 08:22
2.6M
cliquer-tests-1.23-r0.apk
2025-08-12 06:15
24K
cliquer-static-1.23-r0.apk
2025-08-12 06:15
31K
cliquer-libs-1.23-r0.apk
2025-08-12 06:15
25K
cliquer-dev-1.23-r0.apk
2025-08-12 06:15
7.5K
cliquer-1.23-r0.apk
2025-08-12 06:15
7.4K
clipit-doc-1.4.5-r3.apk
2024-10-25 21:08
2.4K
clipit-1.4.5-r3.apk
2024-10-25 21:08
66K
cliphist-fzf-0.7.0-r2.apk
2026-01-17 23:42
1.8K
cliphist-0.7.0-r2.apk
2026-01-17 23:42
1.0M
clinfo-doc-3.0.23.01.25-r0.apk
2024-10-25 21:08
6.5K
clinfo-3.0.23.01.25-r0.apk
2024-10-25 21:08
47K
click-pyc-0.5.2-r4.apk
2025-02-17 16:07
175K
click-doc-0.5.2-r4.apk
2025-02-17 16:07
3.3K
click-dev-0.5.2-r4.apk
2025-02-17 16:07
9.1K
click-0.5.2-r4.apk
2025-02-17 16:07
158K
clevis-extra-pins-0_git20230629-r0.apk
2024-10-25 21:08
4.7K
clevis-doc-21-r0.apk
2025-01-26 07:27
23K
clevis-dbg-21-r0.apk
2025-01-26 07:27
64K
clevis-bash-completion-21-r0.apk
2025-01-26 07:27
2.0K
clevis-21-r0.apk
2025-01-26 07:27
57K
clementine-1.4.1_git20260109-r1.apk
2026-01-25 12:49
6.2M
clatd-2.1.0-r0.apk
2026-01-09 17:02
15K
ckb-next-dev-0.6.2-r1.apk
2025-09-06 18:20
4.9K
ckb-next-daemon-openrc-0.6.2-r1.apk
2025-09-06 18:20
1.8K
ckb-next-daemon-0.6.2-r1.apk
2025-09-06 18:20
73K
ckb-next-0.6.2-r1.apk
2025-09-06 18:20
1.4M
circuslinux-doc-1.0.3-r1.apk
2024-10-25 21:08
18K
circuslinux-data-1.0.3-r1.apk
2024-10-25 21:08
1.1M
circuslinux-1.0.3-r1.apk
2024-10-25 21:08
20K
cinny-web-4.10.2-r0.apk
2025-11-08 08:25
5.8M
cimg-3.4.1-r0.apk
2024-10-25 21:08
826K
cilium-cli-zsh-completion-0.16.13-r11.apk
2026-01-17 23:42
4.0K
cilium-cli-fish-completion-0.16.13-r11.apk
2026-01-17 23:42
4.3K
cilium-cli-bash-completion-0.16.13-r11.apk
2026-01-17 23:42
5.1K
cilium-cli-0.16.13-r11.apk
2026-01-17 23:42
53M
chocolate-doom-doc-3.1.1-r0.apk
2025-08-19 03:30
233K
chocolate-doom-3.1.1-r0.apk
2025-08-19 03:30
1.6M
chimerautils-dbg-15.0.3-r0.apk
2026-01-11 02:18
3.1M
chimerautils-15.0.3-r0.apk
2026-01-11 02:18
1.3M
chim-doc-1.1.2-r1.apk
2024-10-25 21:08
2.8K
chim-1.1.2-r1.apk
2024-10-25 21:08
1.6M
chess-tui-doc-2.0.0-r0.apk
2025-12-13 02:11
2.2K
chess-tui-2.0.0-r0.apk
2025-12-13 02:11
1.3M
cherrytree-lang-1.4.0-r0.apk
2025-03-26 18:40
859K
cherrytree-doc-1.4.0-r0.apk
2025-03-26 18:40
2.1K
cherrytree-1.4.0-r0.apk
2025-03-26 18:40
2.6M
checkpolicy-doc-3.6-r0.apk
2024-10-25 21:08
4.2K
checkpolicy-3.6-r0.apk
2024-10-25 21:08
357K
chasquid-openrc-1.17.0-r1.apk
2026-01-17 23:42
2.0K
chasquid-doc-1.17.0-r1.apk
2026-01-17 23:42
11K
chasquid-1.17.0-r1.apk
2026-01-17 23:42
11M
charta-0.8.2-r2.apk
2026-01-17 23:42
1.9M
charls-dev-2.4.2-r0.apk
2024-10-25 21:08
27K
charls-2.4.2-r0.apk
2024-10-25 21:08
65K
cgo-doc-0.6.1-r1.apk
2024-10-25 21:08
4.1K
cgo-0.6.1-r1.apk
2024-10-25 21:08
10K
cgiirc-0.5.12-r1.apk
2024-10-25 21:08
133K
cfssl-1.6.5-r11.apk
2026-01-17 23:42
28M
certstrap-1.3.0-r30.apk
2026-01-17 23:42
2.2M
certigo-1.16.0-r29.apk
2026-01-17 23:42
3.5M
certbot-dns-pdns-pyc-0.1.1-r1.apk
2025-08-28 03:19
3.9K
certbot-dns-pdns-0.1.1-r1.apk
2025-08-28 03:19
8.6K
certbot-dns-njalla-pyc-2.0.0-r0.apk
2024-11-28 00:05
4.2K
certbot-dns-njalla-2.0.0-r0.apk
2024-11-28 00:05
9.3K
certbot-dns-hetzner-pyc-2.0.1-r1.apk
2025-10-09 19:37
6.5K
certbot-dns-hetzner-2.0.1-r1.apk
2025-10-09 19:37
10K
cdogs-sdl-2.3.2-r0.apk
2025-10-09 20:46
28M
cdist-pyc-7.0.0-r6.apk
2024-10-25 21:08
128K
cdist-7.0.0-r6.apk
2024-10-25 21:08
511K
cdba-server-1.0-r2.apk
2024-10-25 21:08
22K
cdba-1.0-r2.apk
2024-10-25 21:08
8.1K
ccze-doc-0.2.1-r1.apk
2024-10-25 21:08
8.8K
ccze-dev-0.2.1-r1.apk
2024-10-25 21:08
3.3K
ccze-0.2.1-r1.apk
2024-10-25 21:08
79K
ccrtp-doc-2.1.2-r0.apk
2024-10-25 21:08
31K
ccrtp-dev-2.1.2-r0.apk
2024-10-25 21:08
53K
ccrtp-2.1.2-r0.apk
2024-10-25 21:08
85K
cc65-2.19-r0.apk
2024-10-25 21:08
8.8M
cbqn-0.10.0-r0.apk
2025-11-30 13:22
780K
cava-0.10.7-r0.apk
2026-01-14 05:08
50K
catppuccin-whiskers-doc-2.5.1-r0.apk
2025-11-29 19:59
2.3K
catppuccin-whiskers-2.5.1-r0.apk
2025-11-29 19:59
1.5M
catfish-pyc-4.20.1-r0.apk
2025-07-07 21:19
104K
catfish-lang-4.20.1-r0.apk
2025-07-07 21:19
167K
catfish-doc-4.20.1-r0.apk
2025-07-07 21:19
13K
catfish-4.20.1-r0.apk
2025-07-07 21:19
128K
catdoc-doc-0.95-r1.apk
2024-10-25 21:08
9.2K
catdoc-0.95-r1.apk
2024-10-25 21:08
110K
cataclysm-dda-tiles-0h-r0.apk
2025-03-26 12:50
48M
cataclysm-dda-lang-0h-r0.apk
2025-03-26 12:50
38M
cataclysm-dda-doc-0h-r0.apk
2025-03-26 12:50
4.6K
cataclysm-dda-curses-0h-r0.apk
2025-03-26 12:50
11M
cataclysm-dda-0h-r0.apk
2025-03-26 12:50
19M
castor-0.9.0-r2.apk
2024-10-25 21:08
732K
castero-pyc-0.9.5-r4.apk
2025-05-14 20:17
94K
castero-0.9.5-r4.apk
2025-05-14 20:17
50K
cartero-lang-0.2.2-r0.apk
2025-10-13 01:57
45K
cartero-0.2.2-r0.apk
2025-10-13 01:57
1.1M
cariddi-1.4.5-r0.apk
2026-01-26 18:52
4.1M
cargo-vendor-filterer-0.5.18-r0.apk
2025-07-24 19:29
592K
cargo-update-doc-18.0.0-r0.apk
2025-10-28 08:25
8.5K
cargo-update-18.0.0-r0.apk
2025-10-28 08:25
1.0M
cargo-udeps-doc-0.1.60-r0.apk
2026-01-09 17:02
7.5K
cargo-udeps-0.1.60-r0.apk
2026-01-09 17:02
4.6M
cargo-shuttle-zsh-completion-0.56.6-r0.apk
2025-07-29 09:56
7.9K
cargo-shuttle-fish-completion-0.56.6-r0.apk
2025-07-29 09:56
9.0K
cargo-shuttle-doc-0.56.6-r0.apk
2025-07-29 09:56
9.0K
cargo-shuttle-bash-completion-0.56.6-r0.apk
2025-07-29 09:56
5.2K
cargo-shuttle-0.56.6-r0.apk
2025-07-29 09:56
4.7M
cargo-show-asm-doc-0.2.55-r0.apk
2026-01-23 11:54
10K
cargo-show-asm-0.2.55-r0.apk
2026-01-23 11:54
790K
cargo-run-bin-doc-1.7.2-r0.apk
2024-10-25 21:08
5.1K
cargo-run-bin-1.7.2-r0.apk
2024-10-25 21:08
425K
cargo-machete-doc-0.9.1-r0.apk
2025-08-18 02:38
4.4K
cargo-machete-0.9.1-r0.apk
2025-08-18 02:38
1.2M
cargo-leptos-doc-0.3.4-r0.apk
2026-01-25 19:59
2.2K
cargo-leptos-0.3.4-r0.apk
2026-01-25 19:59
7.7M
cargo-generate-0.23.5-r0.apk
2025-10-09 19:37
2.1M
cargo-geiger-doc-0.13.0-r0.apk
2025-10-10 19:32
7.7K
cargo-geiger-0.13.0-r0.apk
2025-10-10 19:32
4.9M
cargo-crev-0.26.3-r0.apk
2025-03-02 17:12
5.7M
care-doc-2.3.0-r1.apk
2024-10-25 21:08
7.9K
care-2.3.0-r1.apk
2024-10-25 21:08
93K
captive-browser-doc-0_git20210801-r3.apk
2026-01-17 23:42
3.7K
captive-browser-0_git20210801-r3.apk
2026-01-17 23:42
1.2M
capsudo-openrc-0.1.3-r0.apk
2026-01-25 11:16
3.3K
capsudo-doc-0.1.3-r0.apk
2026-01-25 11:16
8.4K
capsudo-dbg-0.1.3-r0.apk
2026-01-25 11:16
42K
capsudo-0.1.3-r0.apk
2026-01-25 11:16
19K
caps2esc-0.3.2-r0.apk
2024-10-25 21:08
4.8K
caprine-2.61.0-r0.apk
2026-01-09 17:02
16M
capnet-assist-lang-8.0.0-r0.apk
2025-04-14 12:10
37K
capnet-assist-8.0.0-r0.apk
2025-04-14 12:10
44K
calibre-zsh-completion-8.15.0-r2.apk
2026-01-14 05:08
45K
calibre-pyc-8.15.0-r2.apk
2026-01-14 05:08
2.4K
calibre-doc-8.15.0-r2.apk
2026-01-14 05:08
1.9M
calibre-bash-completion-8.15.0-r2.apk
2026-01-14 05:08
5.3K
calibre-8.15.0-r2.apk
2026-01-14 05:08
41M
caja-gtkhash-plugin-1.5-r0.apk
2024-10-25 21:08
25K
caffeine-ng-lang-4.2.0-r1.apk
2024-10-25 21:08
34K
caffeine-ng-doc-4.2.0-r1.apk
2024-10-25 21:08
3.2K
caffeine-ng-4.2.0-r1.apk
2024-10-25 21:08
100K
cadence-0.9.2-r1.apk
2025-10-09 19:37
1.5M
bzmenu-0.2.1-r3.apk
2025-08-10 03:01
1.0M
bwrap-oci-doc-0.2-r1.apk
2024-10-25 21:08
2.5K
bwrap-oci-0.2-r1.apk
2024-10-25 21:08
15K
butane-0.25.1-r3.apk
2026-01-17 23:42
3.0M
burp-server-3.2.0-r0.apk
2026-01-18 03:41
36K
burp-doc-3.2.0-r0.apk
2026-01-18 03:41
96K
burp-3.2.0-r0.apk
2026-01-18 03:41
166K
bump2version-pyc-1.0.1-r6.apk
2024-10-25 21:08
29K
bump2version-1.0.1-r6.apk
2024-10-25 21:08
21K
buildcache-0.28.9-r0.apk
2024-10-25 21:08
747K
buildbot-www-4.3.0-r1.apk
2026-01-23 16:51
422K
buildbot-wsgi-dashboards-4.3.0-r1.apk
2026-01-23 16:51
426K
buildbot-worker-4.3.0-r1.apk
2026-01-23 16:51
191K
buildbot-waterfall-view-4.3.0-r1.apk
2026-01-23 16:51
34K
buildbot-pyc-4.3.0-r1.apk
2026-01-23 16:51
1.5M
buildbot-grid-view-4.3.0-r1.apk
2026-01-23 16:51
11K
buildbot-console-view-4.3.0-r1.apk
2026-01-23 16:51
23K
buildbot-badges-4.3.0-r1.apk
2026-01-23 16:51
9.3K
buildbot-4.3.0-r1.apk
2026-01-23 16:51
736K
buf-zsh-completion-1.59.0-r2.apk
2026-01-17 23:42
4.0K
buf-protoc-plugins-1.59.0-r2.apk
2026-01-17 23:42
14M
buf-fish-completion-1.59.0-r2.apk
2026-01-17 23:42
4.3K
buf-bash-completion-1.59.0-r2.apk
2026-01-17 23:42
8.6K
buf-1.59.0-r2.apk
2026-01-17 23:42
14M
budgie-session-lang-0.9.1-r0.apk
2025-10-16 19:07
307K
budgie-session-doc-0.9.1-r0.apk
2025-10-16 19:07
5.3K
budgie-session-0.9.1-r0.apk
2025-10-16 19:07
118K
budgie-screensaver-lang-5.1.0-r0.apk
2025-10-16 19:07
240K
budgie-screensaver-doc-5.1.0-r0.apk
2025-10-16 19:07
3.3K
budgie-screensaver-5.1.0-r0.apk
2025-10-16 19:07
77K
budgie-desktop-lang-10.9.2-r0.apk
2025-10-16 19:07
586K
budgie-desktop-doc-10.9.2-r0.apk
2025-10-16 19:07
5.7K
budgie-desktop-dev-10.9.2-r0.apk
2025-10-16 19:07
18K
budgie-desktop-10.9.2-r0.apk
2025-10-16 19:07
1.3M
budgie-control-center-lang-1.4.0-r0.apk
2025-10-16 19:07
3.9M
budgie-control-center-bash-completion-1.4.0-r0.apk
2025-10-16 19:07
2.3K
budgie-control-center-1.4.0-r0.apk
2025-10-16 19:07
3.0M
btpd-doc-0.16-r2.apk
2024-10-25 21:08
8.4K
btpd-0.16-r2.apk
2024-10-25 21:08
78K
btfs-doc-2.24-r12.apk
2024-10-25 21:08
2.4K
btfs-2.24-r12.apk
2024-10-25 21:08
32K
btcd-0.25.0-r1.apk
2026-01-17 23:42
15M
brial-dev-1.2.11-r4.apk
2024-10-25 21:08
1.7M
brial-1.2.11-r4.apk
2024-10-25 21:08
1.0M
boxes-doc-2.3.1-r0.apk
2024-10-25 21:08
7.1K
boxes-2.3.1-r0.apk
2024-10-25 21:08
77K
botan2-libs-2.19.5-r0.apk
2025-05-29 12:14
2.5M
botan2-doc-2.19.5-r0.apk
2025-05-29 12:14
306K
botan2-dev-2.19.5-r0.apk
2025-05-29 12:14
311K
botan2-2.19.5-r0.apk
2025-05-29 12:14
396K
boson-0_git20211219-r0.apk
2024-10-25 21:08
17K
bore-0.5.2-r0.apk
2024-12-15 20:25
504K
bootterm-dbg-0.5-r0.apk
2024-10-25 21:08
2.3K
bootterm-0.5-r0.apk
2024-10-25 21:08
19K
bootloose-0.7.1-r17.apk
2026-01-17 23:42
2.1M
bootinfo-pyc-0.1.0-r4.apk
2024-10-25 21:08
8.2K
bootinfo-0.1.0-r4.apk
2024-10-25 21:08
19K
bootchart2-systemd-0.14.9-r1.apk
2025-11-17 14:13
2.2K
bootchart2-0.14.9-r1.apk
2025-11-17 14:13
136K
boost1.89-wserialization-1.89.0-r1.apk
2026-01-17 23:42
62K
boost1.89-wave-1.89.0-r1.apk
2026-01-17 23:42
336K
boost1.89-url-1.89.0-r1.apk
2026-01-17 23:42
132K
boost1.89-unit_test_framework-1.89.0-r1.apk
2026-01-17 23:42
297K
boost1.89-type_erasure-1.89.0-r1.apk
2026-01-17 23:42
22K
boost1.89-timer-1.89.0-r1.apk
2026-01-17 23:42
8.3K
boost1.89-thread-1.89.0-r1.apk
2026-01-17 23:42
43K
boost1.89-static-1.89.0-r1.apk
2026-01-17 23:42
7.2M
boost1.89-serialization-1.89.0-r1.apk
2026-01-17 23:42
89K
boost1.89-regex-1.89.0-r1.apk
2026-01-17 23:42
138K
boost1.89-random-1.89.0-r1.apk
2026-01-17 23:42
13K
boost1.89-python3-1.89.0-r1.apk
2026-01-17 23:42
91K
boost1.89-program_options-1.89.0-r1.apk
2026-01-17 23:42
150K
boost1.89-process-1.89.0-r1.apk
2026-01-17 23:42
27K
boost1.89-prg_exec_monitor-1.89.0-r1.apk
2026-01-17 23:42
33K
boost1.89-nowide-1.89.0-r1.apk
2026-01-17 23:42
7.5K
boost1.89-log_setup-1.89.0-r1.apk
2026-01-17 23:42
333K
boost1.89-log-1.89.0-r1.apk
2026-01-17 23:42
304K
boost1.89-locale-1.89.0-r1.apk
2026-01-17 23:42
301K
boost1.89-libs-1.89.0-r1.apk
2026-01-17 23:42
667K
boost1.89-json-1.89.0-r1.apk
2026-01-17 23:42
171K
boost1.89-iostreams-1.89.0-r1.apk
2026-01-17 23:42
31K
boost1.89-graph-1.89.0-r1.apk
2026-01-17 23:42
159K
boost1.89-filesystem-1.89.0-r1.apk
2026-01-17 23:42
65K
boost1.89-fiber-1.89.0-r1.apk
2026-01-17 23:42
33K
boost1.89-doc-1.89.0-r1.apk
2026-01-17 23:42
2.4K
boost1.89-dev-1.89.0-r1.apk
2026-01-17 23:42
17M
boost1.89-date_time-1.89.0-r1.apk
2026-01-17 23:42
3.1K
boost1.89-coroutine-1.89.0-r1.apk
2026-01-17 23:42
7.1K
boost1.89-contract-1.89.0-r1.apk
2026-01-17 23:42
34K
boost1.89-context-1.89.0-r1.apk
2026-01-17 23:42
4.1K
boost1.89-container-1.89.0-r1.apk
2026-01-17 23:42
39K
boost1.89-chrono-1.89.0-r1.apk
2026-01-17 23:42
14K
boost1.89-atomic-1.89.0-r1.apk
2026-01-17 23:42
7.8K
boost1.89-1.89.0-r1.apk
2026-01-17 23:42
655K
bonzomatic-20230615-r0.apk
2024-10-25 21:08
642K
bomctl-zsh-completion-0.1.9-r12.apk
2026-01-17 23:42
4.1K
bomctl-fish-completion-0.1.9-r12.apk
2026-01-17 23:42
4.3K
bomctl-bash-completion-0.1.9-r12.apk
2026-01-17 23:42
5.1K
bomctl-0.1.9-r12.apk
2026-01-17 23:42
8.8M
boinc-screensaver-7.24.3-r0.apk
2024-10-25 21:08
123K
boinc-libs-7.24.3-r0.apk
2024-10-25 21:08
199K
boinc-lang-7.24.3-r0.apk
2024-10-25 21:08
877K
boinc-gui-7.24.3-r0.apk
2024-10-25 21:08
1.0M
boinc-doc-7.24.3-r0.apk
2024-10-25 21:08
8.0K
boinc-dev-7.24.3-r0.apk
2024-10-25 21:08
591K
boinc-7.24.3-r0.apk
2024-10-25 21:08
1.5M
bochs-doc-2.8-r1.apk
2025-02-22 16:37
139K
bochs-2.8-r1.apk
2025-02-22 16:37
894K
boa-cli-0.21-r0.apk
2025-10-24 22:41
7.3M
blip-doc-0.10-r0.apk
2024-10-25 21:08
31K
blip-0.10-r0.apk
2024-10-25 21:08
15K
blackbox-1.20220610-r1.apk
2024-10-25 21:08
16K
bkt-doc-0.8.0-r0.apk
2024-10-25 21:08
7.3K
bkt-0.8.0-r0.apk
2024-10-25 21:08
343K
bitritter-0.1.1-r0.apk
2024-10-25 21:08
2.2M
bitlbee-mastodon-1.4.5-r0.apk
2024-10-25 21:08
45K
bitlbee-facebook-1.2.2-r0.apk
2024-10-25 21:08
58K
birdtray-1.11.4-r0.apk
2025-12-12 18:03
435K
bionic_translation-dev-0_git20251125-r0.apk
2026-01-08 13:08
1.8K
bionic_translation-dbg-0_git20251125-r0.apk
2026-01-08 13:08
146K
bionic_translation-0_git20251125-r0.apk
2026-01-08 13:08
55K
biometryd-dev-0.3.3-r0.apk
2025-12-09 12:10
13K
biometryd-0.3.3-r0.apk
2025-12-09 12:10
309K
biome-2.3.12-r0.apk
2026-01-26 13:08
7.3M
binwalk-3.1.0-r0.apk
2025-02-07 16:46
971K
bindfs-doc-1.17.7-r1.apk
2025-06-19 10:44
9.1K
bindfs-1.17.7-r1.apk
2025-06-19 10:44
21K
biboumi-openrc-9.0-r9.apk
2025-10-09 19:37
1.9K
biboumi-doc-9.0-r9.apk
2025-10-09 19:37
1.5K
biboumi-9.0-r9.apk
2025-10-09 19:37
264K
bgs-doc-0.8-r1.apk
2024-10-25 21:08
2.3K
bgs-0.8-r1.apk
2024-10-25 21:08
5.6K
bgpq4-doc-1.15-r0.apk
2024-10-25 21:08
6.3K
bgpq4-1.15-r0.apk
2024-10-25 21:08
34K
bettercap-doc-2.41.5-r1.apk
2026-01-17 23:42
14K
bettercap-2.41.5-r1.apk
2026-01-17 23:42
19M
bestline-doc-0.0_git20211108-r0.apk
2024-10-25 21:08
18M
bestline-dev-0.0_git20211108-r0.apk
2024-10-25 21:08
1.7K
bestline-0.0_git20211108-r0.apk
2024-10-25 21:08
21K
berry-lang-1.1.0-r0.apk
2024-10-25 21:08
111K
belr-dev-5.3.105-r0.apk
2025-02-25 13:52
15K
belr-5.3.105-r0.apk
2025-02-25 13:52
110K
belle-sip-dev-5.3.105-r0.apk
2025-02-25 13:52
54K
belle-sip-5.3.105-r0.apk
2025-02-25 13:52
584K
belcard-libs-5.3.105-r0.apk
2025-02-25 13:48
204K
belcard-dev-5.3.105-r0.apk
2025-02-25 13:48
11K
belcard-5.3.105-r0.apk
2025-02-25 13:48
16K
bees-openrc-0.10-r2.apk
2024-10-25 21:08
1.9K
bees-0.10-r2.apk
2024-10-25 21:08
276K
beebzzr-doc-0_git20251214-r0.apk
2026-01-17 23:42
2.5K
beebzzr-0_git20251214-r0.apk
2026-01-17 23:42
5.3K
beard-doc-0.4-r0.apk
2024-10-25 21:08
2.5K
beard-0.4-r0.apk
2024-10-25 21:08
3.1K
beancount-language-server-1.4.1-r0.apk
2025-08-03 12:41
1.3M
bdfr-2.6.2-r1.apk
2024-10-25 21:08
131K
bchunk-doc-1.2.2-r3.apk
2024-10-25 21:08
3.0K
bchunk-1.2.2-r3.apk
2024-10-25 21:08
7.3K
bcg729-dev-1.1.1-r1.apk
2025-10-15 10:20
3.6K
bcg729-1.1.1-r1.apk
2025-10-15 10:20
34K
bazel8-bash-completion-8.4.2-r0.apk
2025-10-09 19:37
65K
bazel8-8.4.2-r0.apk
2025-10-09 19:37
32M
bazel7-bash-completion-7.7.1-r0.apk
2025-11-22 19:43
48K
bazel7-7.7.1-r0.apk
2025-11-22 19:43
29M
bazaar-systemd-0.7.2-r0.apk
2026-01-04 15:48
1.7K
bazaar-lang-0.7.2-r0.apk
2026-01-04 15:48
243K
bazaar-0.7.2-r0.apk
2026-01-04 15:48
494K
battery-limit-openrc-1-r0.apk
2025-06-17 13:01
1.8K
batmon-0.0.1-r0.apk
2024-10-25 21:08
422K
bat-extras-prettybat-2024.08.24-r0.apk
2025-10-12 22:47
5.6K
bat-extras-doc-2024.08.24-r0.apk
2025-10-12 22:47
16K
bat-extras-batwatch-2024.08.24-r0.apk
2025-10-12 22:47
5.8K
bat-extras-batpipe-2024.08.24-r0.apk
2025-10-12 22:47
7.0K
bat-extras-batman-2024.08.24-r0.apk
2025-10-12 22:47
4.7K
bat-extras-batgrep-2024.08.24-r0.apk
2025-10-12 22:47
7.3K
bat-extras-batdiff-2024.08.24-r0.apk
2025-10-12 22:47
5.4K
bat-extras-2024.08.24-r0.apk
2025-10-12 22:47
5.3K
bash-pinyin-completion-rs-doc-1.0.4-r0.apk
2026-01-20 15:21
14K
bash-pinyin-completion-rs-1.0.4-r0.apk
2026-01-20 15:21
292K
base64c-dev-0.2.1-r0.apk
2024-10-25 21:08
5.4K
base64c-0.2.1-r0.apk
2024-10-25 21:08
4.5K
bartib-1.0.1-r1.apk
2024-10-25 21:08
338K
barrier-doc-2.4.0-r2.apk
2025-02-17 16:07
13K
barrier-2.4.0-r2.apk
2025-02-17 16:07
940K
barnyard2-openrc-2.1.14_git20160413-r1.apk
2024-10-25 21:08
2.8K
barnyard2-2.1.14_git20160413-r1.apk
2024-10-25 21:08
125K
barman-pyc-3.17.0-r0.apk
2026-01-21 17:58
599K
barman-doc-3.17.0-r0.apk
2026-01-21 17:58
89K
barman-bash-completion-3.17.0-r0.apk
2026-01-21 17:58
1.6K
barman-3.17.0-r0.apk
2026-01-21 17:58
381K
baresip-dev-4.1.0-r1.apk
2026-01-24 13:59
16K
baresip-4.1.0-r1.apk
2026-01-24 13:59
1.1M
bakelite-0.4.2-r0.apk
2024-10-25 21:08
33K
bake-2.5.1-r0.apk
2024-10-25 21:08
110K
baikal-sqlite-0.10.1-r1.apk
2025-05-27 23:41
1.4K
baikal-pgsql-0.10.1-r1.apk
2025-05-27 23:41
1.3K
baikal-mysql-0.10.1-r1.apk
2025-05-27 23:41
1.3K
baikal-0.10.1-r1.apk
2025-05-27 23:41
1.3M
bacon-3.18.0-r0.apk
2025-09-30 19:38
1.7M
backup-manager-0.7.15-r1.apk
2024-10-25 21:08
55K
b2-tools-pyc-4.5.0-r0.apk
2026-01-13 08:11
137K
b2-tools-4.5.0-r0.apk
2026-01-13 08:11
73K
azure-iot-sdk-c-static-1.11.0-r0.apk
2024-10-25 21:08
804K
azpainter-doc-3.0.11-r0.apk
2025-02-22 17:07
42K
azpainter-3.0.11-r0.apk
2025-02-22 17:07
786K
azote-pyc-1.14.0-r0.apk
2024-12-14 21:38
98K
azote-1.14.0-r0.apk
2024-12-14 21:38
7.6M
aws-ecr-get-login-password-doc-1.0.0_rc2-r2.apk
2026-01-17 23:42
2.3K
aws-ecr-get-login-password-1.0.0_rc2-r2.apk
2026-01-17 23:42
3.0M
avra-dev-1.4.2-r0.apk
2024-10-25 21:08
255K
avra-1.4.2-r0.apk
2024-10-25 21:08
40K
avarice-doc-2.14-r4.apk
2024-10-25 21:08
9.4K
avarice-2.14-r4.apk
2024-10-25 21:08
67K
avara-0.7.1-r1.apk
2024-11-04 09:52
21M
avahi2dns-systemd-0.1.0-r9.apk
2026-01-20 03:09
1.8K
avahi2dns-openrc-0.1.0-r9.apk
2026-01-20 03:09
1.8K
avahi2dns-0.1.0-r9.apk
2026-01-20 03:09
2.3M
autotrash-pyc-0.4.7-r0.apk
2024-10-25 21:08
14K
autotrash-0.4.7-r0.apk
2024-10-25 21:08
23K
autoscan-openrc-1.4.0-r17.apk
2026-01-17 23:42
2.0K
autoscan-1.4.0-r17.apk
2026-01-17 23:42
5.1M
autorestic-1.8.3-r11.apk
2026-01-17 23:42
3.6M
autoremove-torrents-pyc-1.5.5-r0.apk
2024-10-25 21:08
54K
autoremove-torrents-doc-1.5.5-r0.apk
2024-10-25 21:08
12K
autoremove-torrents-1.5.5-r0.apk
2024-10-25 21:08
35K
autoconf-policy-0.1-r0.apk
2024-10-25 21:08
5.5K
authenticator-rs-lang-0.8.6-r0.apk
2025-09-01 20:29
4.1K
authenticator-rs-0.8.6-r0.apk
2025-09-01 20:29
2.0M
aufs-util-doc-20161219-r3.apk
2024-10-25 21:08
34K
aufs-util-dev-20161219-r3.apk
2024-10-25 21:08
1.5K
aufs-util-20161219-r3.apk
2024-10-25 21:08
224K
atool-doc-0.39.0-r4.apk
2024-10-25 21:08
9.6K
atool-bash-completion-0.39.0-r4.apk
2024-10-25 21:08
2.0K
atool-0.39.0-r4.apk
2024-10-25 21:08
18K
atomicparsley-20240608-r0.apk
2024-10-25 21:08
119K
atlantik-lang-3.5.10_git20240323-r0.apk
2024-10-25 21:08
69K
atlantik-doc-3.5.10_git20240323-r0.apk
2024-10-25 21:08
79K
atlantik-3.5.10_git20240323-r0.apk
2024-10-25 21:08
391K
atac-0.18.1-r0.apk
2024-11-25 22:57
4.6M
aspell-es-1.11-r0.apk
2024-10-25 21:08
533K
asdf-doc-0.18.0-r6.apk
2026-01-17 23:42
2.2K
asdf-0.18.0-r6.apk
2026-01-17 23:42
1.6M
art_standalone-dev-0_git20251009-r1.apk
2026-01-14 05:08
8.6M
art_standalone-dbg-0_git20251009-r1.apk
2026-01-14 05:08
139M
art_standalone-0_git20251009-r1.apk
2026-01-14 05:08
18M
armagetronad-doc-0.2.9.1.1-r0.apk
2024-10-25 21:08
92K
armagetronad-0.2.9.1.1-r0.apk
2024-10-25 21:08
1.6M
arj-doc-0_git20220125-r1.apk
2024-10-25 21:08
10K
arj-0_git20220125-r1.apk
2024-10-25 21:08
137K
argocd-zsh-completion-3.2.5-r0.apk
2026-01-18 19:19
4.0K
argocd-doc-3.2.5-r0.apk
2026-01-18 19:19
5.5K
argocd-bash-completion-3.2.5-r0.apk
2026-01-18 19:19
22K
argocd-3.2.5-r0.apk
2026-01-18 19:19
41M
arduino-cli-1.3.1-r3.apk
2026-01-17 23:42
8.2M
arc-xfwm-20221218-r1.apk
2026-01-21 19:07
7.1K
arc-theme-20221218-r1.apk
2026-01-21 19:07
1.3K
arc-metacity-20221218-r1.apk
2026-01-21 19:07
17K
arc-lighter-xfwm-20221218-r1.apk
2026-01-21 19:07
7.1K
arc-lighter-metacity-20221218-r1.apk
2026-01-21 19:07
17K
arc-lighter-gtk4-20221218-r1.apk
2026-01-21 19:07
113K
arc-lighter-gtk3-20221218-r1.apk
2026-01-21 19:07
125K
arc-lighter-20221218-r1.apk
2026-01-21 19:07
1.8K
arc-icon-theme-20161122-r0.apk
2024-10-25 21:08
4.4M
arc-gtk4-20221218-r1.apk
2026-01-21 19:07
113K
arc-gtk3-20221218-r1.apk
2026-01-21 19:07
126K
arc-gnome-20221218-r1.apk
2026-01-21 19:07
28K
arc-darker-xfwm-20221218-r1.apk
2026-01-21 19:07
7.2K
arc-darker-metacity-20221218-r1.apk
2026-01-21 19:07
17K
arc-darker-gtk4-20221218-r1.apk
2026-01-21 19:07
110K
arc-darker-gtk3-20221218-r1.apk
2026-01-21 19:07
124K
arc-darker-20221218-r1.apk
2026-01-21 19:07
1.7K
arc-dark-xfwm-20221218-r1.apk
2026-01-21 19:07
7.2K
arc-dark-metacity-20221218-r1.apk
2026-01-21 19:07
17K
arc-dark-gtk4-20221218-r1.apk
2026-01-21 19:07
86K
arc-dark-gtk3-20221218-r1.apk
2026-01-21 19:07
93K
arc-dark-gnome-20221218-r1.apk
2026-01-21 19:07
26K
arc-dark-cinnamon-20221218-r1.apk
2026-01-21 19:07
67K
arc-dark-20221218-r1.apk
2026-01-21 19:07
1.7K
arc-cinnamon-20221218-r1.apk
2026-01-21 19:07
67K
arc-20221218-r1.apk
2026-01-21 19:07
1.7K
aravis-viewer-lang-0.8.31-r0.apk
2024-10-25 21:08
16K
aravis-viewer-0.8.31-r0.apk
2024-10-25 21:08
67K
aravis-libs-0.8.31-r0.apk
2024-10-25 21:08
182K
aravis-dev-0.8.31-r0.apk
2024-10-25 21:08
34K
aravis-0.8.31-r0.apk
2024-10-25 21:08
49K
aqemu-doc-0.9.4-r3.apk
2024-10-25 21:08
7.5K
aqemu-0.9.4-r3.apk
2024-10-25 21:08
1.6M
apx-doc-3.0.1-r0.apk
2026-01-22 17:14
2.0K
apx-3.0.1-r0.apk
2026-01-22 17:14
2.1M
apulse-doc-0.1.14-r0.apk
2025-09-06 18:20
2.9K
apulse-0.1.14-r0.apk
2025-09-06 18:20
43K
aptdec-libs-1.8.0-r1.apk
2025-02-09 00:46
15K
aptdec-dev-1.8.0-r1.apk
2025-02-09 00:46
3.4K
aptdec-1.8.0-r1.apk
2025-02-09 00:46
85K
apt-swarm-zsh-completion-0.5.1-r1.apk
2025-10-12 15:43
5.9K
apt-swarm-openrc-0.5.1-r1.apk
2025-10-12 15:43
2.0K
apt-swarm-fish-completion-0.5.1-r1.apk
2025-10-12 15:43
5.7K
apt-swarm-bash-completion-0.5.1-r1.apk
2025-10-12 15:43
4.2K
apt-swarm-0.5.1-r1.apk
2025-10-12 15:43
2.8M
apt-mirror-doc-0.5.4-r0.apk
2024-10-25 21:08
4.6K
apt-mirror-0.5.4-r0.apk
2024-10-25 21:08
9.4K
apt-dater-lang-1.0.4-r4.apk
2024-10-25 21:08
13K
apt-dater-doc-1.0.4-r4.apk
2024-10-25 21:08
9.9K
apt-dater-1.0.4-r4.apk
2024-10-25 21:08
57K
aprilsh-server-0.7.12-r11.apk
2026-01-17 23:42
2.4M
aprilsh-openrc-0.7.12-r11.apk
2026-01-17 23:42
1.8K
aprilsh-doc-0.7.12-r11.apk
2026-01-17 23:42
14K
aprilsh-client-0.7.12-r11.apk
2026-01-17 23:42
3.1M
aprilsh-0.7.12-r11.apk
2026-01-17 23:42
1.6K
appimagetool-1.9.1-r0.apk
2026-01-09 17:02
38K
appcenter-lang-8.0.0-r0.apk
2024-11-12 22:56
258K
appcenter-8.0.0-r0.apk
2024-11-12 22:56
399K
apostrophe-revealjs-5.2.1-r0.apk
2025-07-03 12:58
2.4M
apostrophe-pyc-3.4-r0.apk
2025-09-30 11:36
153K
apostrophe-lang-3.4-r0.apk
2025-09-30 11:36
227K
apostrophe-3.4-r0.apk
2025-09-30 11:36
154K
aports-glmr-0.2-r34.apk
2026-01-17 23:42
2.5M
apmpkg-zsh-completion-1.5.1-r3.apk
2024-10-25 21:08
2.4K
apmpkg-fish-completion-1.5.1-r3.apk
2024-10-25 21:08
2.1K
apmpkg-doc-1.5.1-r3.apk
2024-10-25 21:08
3.1K
apmpkg-bash-completion-1.5.1-r3.apk
2024-10-25 21:08
2.2K
apmpkg-1.5.1-r3.apk
2024-10-25 21:08
1.6M
apk-snap-doc-3.1.1-r0.apk
2024-10-25 21:08
20K
apk-snap-3.1.1-r0.apk
2024-10-25 21:08
6.6K
apk-autoupdate-doc-0_git20210421-r1.apk
2024-11-20 01:45
7.0K
apk-autoupdate-0_git20210421-r1.apk
2024-11-20 01:45
14K
apache2-mod-realdoc-1-r1.apk
2024-10-25 21:08
4.6K
apache2-mod-perl-doc-2.0.13-r2.apk
2025-06-30 09:25
304K
apache2-mod-perl-dev-2.0.13-r2.apk
2025-06-30 09:25
38K
apache2-mod-perl-dbg-2.0.13-r2.apk
2025-06-30 09:25
71K
apache2-mod-perl-2.0.13-r2.apk
2025-06-30 09:25
732K
apache2-mod-maxminddb-1.2.0-r0.apk
2025-05-19 12:23
11K
apache2-mod-authnz-external-doc-3.3.3-r0.apk
2024-10-25 21:08
10K
apache2-mod-authnz-external-3.3.3-r0.apk
2024-10-25 21:08
7.9K
apache-mod-auth-openidc-static-2.4.16.11-r1.apk
2025-05-22 08:53
315K
apache-mod-auth-openidc-doc-2.4.16.11-r1.apk
2025-05-22 08:53
4.4K
apache-mod-auth-openidc-2.4.16.11-r1.apk
2025-05-22 08:53
221K
apache-mod-auth-gssapi-1.6.5-r1.apk
2024-10-25 21:08
60K
aoetools-doc-37-r2.apk
2025-01-14 16:34
14K
aoetools-37-r2.apk
2025-01-14 16:34
25K
antimicrox-doc-3.5.1-r0.apk
2025-06-13 17:19
24K
antimicrox-3.5.1-r0.apk
2025-06-13 17:19
1.6M
antibody-6.1.1-r34.apk
2026-01-17 23:42
1.7M
ansifilter-zsh-completion-2.22-r0.apk
2026-01-19 18:09
2.5K
ansifilter-fish-completion-2.22-r0.apk
2026-01-19 18:09
2.5K
ansifilter-doc-2.22-r0.apk
2026-01-19 18:09
22K
ansifilter-bash-completion-2.22-r0.apk
2026-01-19 18:09
2.2K
ansifilter-2.22-r0.apk
2026-01-19 18:09
65K
ansible-bender-pyc-0.10.1-r2.apk
2024-10-25 21:08
65K
ansible-bender-doc-0.10.1-r2.apk
2024-10-25 21:08
10K
ansible-bender-0.10.1-r2.apk
2024-10-25 21:08
36K
angband-4.2.5-r0.apk
2024-10-25 21:08
23M
android-translation-layer-dbg-0_git20260106-r0.apk
2026-01-08 13:08
902K
android-translation-layer-0_git20260106-r0.apk
2026-01-08 13:08
2.8M
android-file-transfer-libs-4.5-r0.apk
2025-06-25 01:02
128K
android-file-transfer-dev-4.5-r0.apk
2025-06-25 01:02
1.6K
android-file-transfer-cli-4.5-r0.apk
2025-06-25 01:02
110K
android-file-transfer-4.5-r0.apk
2025-06-25 01:02
194K
android-build-tools-15.0-r1.apk
2025-12-11 23:06
1.7M
android-apktool-2.12.1-r0.apk
2025-10-14 16:53
24M
android-apkeep-0.17.0-r0.apk
2024-10-25 21:08
1.9M
anari-sdk-static-0.7.2-r0.apk
2024-10-25 21:08
187K
anari-sdk-dev-0.7.2-r0.apk
2024-10-25 21:08
59K
anari-sdk-0.7.2-r0.apk
2024-10-25 21:08
287K
anarch-doc-1.0-r1.apk
2024-10-25 21:08
18K
anarch-1.0-r1.apk
2024-10-25 21:08
91K
amule-lang-2.3.3-r13.apk
2024-10-25 21:08
1.6M
amule-doc-2.3.3-r13.apk
2024-10-25 21:08
281K
amule-2.3.3-r13.apk
2024-10-25 21:08
4.2M
ampy-pyc-1.1.0-r6.apk
2025-03-26 12:50
19K
ampy-doc-1.1.0-r6.apk
2025-03-26 12:50
4.1K
ampy-1.1.0-r6.apk
2025-03-26 12:50
15K
amiitool-2-r2.apk
2024-10-25 21:08
7.3K
amdgpu_top-doc-0.11.0-r0.apk
2025-09-03 02:48
4.0K
amdgpu_top-0.11.0-r0.apk
2025-09-03 02:48
6.6M
amdgpu-fan-pyc-0.1.0-r5.apk
2024-10-25 21:08
9.6K
amdgpu-fan-0.1.0-r5.apk
2024-10-25 21:08
14K
amber-mpris-dev-1.2.9-r0.apk
2024-12-22 17:48
6.7K
amber-mpris-1.2.9-r0.apk
2024-12-22 17:48
203K
amber-0.5.1_alpha-r0.apk
2026-01-08 16:54
819K
alttab-doc-1.7.1-r0.apk
2024-10-25 21:08
10K
alttab-1.7.1-r0.apk
2024-10-25 21:08
38K
alps-openrc-0_git20230807-r18.apk
2026-01-17 23:42
2.0K
alps-0_git20230807-r18.apk
2026-01-17 23:42
5.3M
alpine-lift-0.2.0-r29.apk
2026-01-17 23:42
3.5M
alertmanager-irc-relay-openrc-0.5.1-r1.apk
2025-09-06 18:20
2.0K
alertmanager-irc-relay-0.5.1-r1.apk
2025-09-06 18:20
4.6M
alacritty-graphics-zsh-completion-0.16.1-r0.apk
2025-12-18 01:02
3.4K
alacritty-graphics-fish-completion-0.16.1-r0.apk
2025-12-18 01:02
3.1K
alacritty-graphics-doc-0.16.1-r0.apk
2025-12-18 01:02
41K
alacritty-graphics-bash-completion-0.16.1-r0.apk
2025-12-18 01:02
2.8K
alacritty-graphics-0.16.1-r0.apk
2025-12-18 01:02
1.9M
aide-doc-0.19.2-r0.apk
2025-09-06 18:20
16K
aide-0.19.2-r0.apk
2025-09-06 18:20
84K
agrep-doc-0.8.0-r2.apk
2024-10-25 21:08
4.1K
agrep-0.8.0-r2.apk
2024-10-25 21:08
8.3K
aggregate6-pyc-1.0.14-r0.apk
2025-10-14 13:05
5.8K
aggregate6-doc-1.0.14-r0.apk
2025-10-14 13:05
2.9K
aggregate6-1.0.14-r0.apk
2025-10-14 13:05
7.3K
agate-openrc-3.3.19-r0.apk
2025-09-23 23:13
2.0K
agate-3.3.19-r0.apk
2025-09-23 23:13
848K
afew-doc-3.0.1-r0.apk
2025-05-19 00:30
12K
afew-3.0.1-r0.apk
2025-05-19 00:30
73K
afetch-doc-2.2.0-r1.apk
2024-10-25 21:08
14K
afetch-2.2.0-r1.apk
2024-10-25 21:08
9.0K
advancescan-doc-1.18-r1.apk
2024-10-25 21:08
7.3K
advancescan-1.18-r1.apk
2024-10-25 21:08
260K
advancemame-mess-3.9-r4.apk
2024-10-25 21:08
3.6M
advancemame-menu-3.9-r4.apk
2024-10-25 21:08
837K
advancemame-doc-3.9-r4.apk
2024-10-25 21:08
374K
advancemame-data-3.9-r4.apk
2024-10-25 21:08
5.8M
advancemame-3.9-r4.apk
2024-10-25 21:08
13M
admesh-doc-0.98.5-r0.apk
2024-10-25 21:08
23K
admesh-dev-0.98.5-r0.apk
2024-10-25 21:08
4.0K
admesh-0.98.5-r0.apk
2024-10-25 21:08
27K
adjtimex-doc-1.29-r0.apk
2024-10-25 21:08
7.1K
adjtimex-1.29-r0.apk
2024-10-25 21:08
18K
adguardhome-openrc-0.107.71-r1.apk
2026-01-17 23:42
2.2K
adguardhome-0.107.71-r1.apk
2026-01-17 23:42
11M
adbd-0_git20251009-r1.apk
2026-01-14 05:08
41K
acmetool-doc-0.2.2-r19.apk
2026-01-17 23:42
47K
acmetool-0.2.2-r19.apk
2026-01-17 23:42
4.3M
acmeleaf-doc-0.2.0-r2.apk
2026-01-17 23:42
5.3K
acmeleaf-0.2.0-r2.apk
2026-01-17 23:42
4.8M
ace-of-penguins-doc-1.4-r3.apk
2024-10-25 21:08
49K
ace-of-penguins-1.4-r3.apk
2024-10-25 21:08
182K
abnfgen-doc-0.21-r0.apk
2025-05-27 23:41
5.1K
abnfgen-0.21-r0.apk
2025-05-27 23:41
18K
abc-0_git20240102-r0.apk
2024-10-25 21:08
4.9M
ab-tidy-0.1.0-r2.apk
2025-02-23 22:00
102K
a2jmidid-doc-9-r3.apk
2024-10-25 21:08
4.2K
a2jmidid-9-r3.apk
2024-10-25 21:08
28K
APKINDEX.tar.gz
2026-01-27 12:44
713K
81voltd-systemd-1.1.0-r0.apk
2026-01-11 19:22
1.7K
81voltd-openrc-1.1.0-r0.apk
2026-01-11 19:22
1.7K
81voltd-doc-1.1.0-r0.apk
2026-01-11 19:22
2.2K
81voltd-1.1.0-r0.apk
2026-01-11 19:22
13K
66-tools-nsrules-0.1.2.0-r0.apk
2025-06-01 17:50
2.9K
66-tools-doc-0.1.2.0-r0.apk
2025-06-01 17:50
39K
66-tools-dev-0.1.2.0-r0.apk
2025-06-01 17:50
2.0K
66-tools-dbg-0.1.2.0-r0.apk
2025-06-01 17:50
109K
66-tools-0.1.2.0-r0.apk
2025-06-01 17:50
69K
66-init-0.8.2.1-r0.apk
2025-06-03 21:11
1.5K
66-doc-0.8.2.1-r0.apk
2025-06-03 21:11
190K
66-dev-0.8.2.1-r0.apk
2025-06-03 21:11
1.7M
66-dbg-0.8.2.1-r0.apk
2025-06-03 21:11
756K
66-0.8.2.1-r0.apk
2025-06-03 21:11
301K
3proxy-systemd-0.9.5-r1.apk
2025-11-17 14:13
1.8K
3proxy-openrc-0.9.5-r1.apk
2025-11-17 14:13
1.7K
3proxy-doc-0.9.5-r1.apk
2025-11-17 14:13
26K
3proxy-0.9.5-r1.apk
2025-11-17 14:13
366K